unofficial mirror of bug-gnu-emacs@gnu.org 
 help / color / mirror / code / Atom feed
* bug#5997: migration emacs 22.3 to 23.1
@ 2010-04-21 13:42 Jerzy Gbur
  2010-04-22 13:39 ` Stefan Monnier
  0 siblings, 1 reply; 3+ messages in thread
From: Jerzy Gbur @ 2010-04-21 13:42 UTC (permalink / raw)
  To: 5997

Hi
I use emacs for VHDL (vhdl-mode) coding mostly.
After I updated emacs from version 22.3 to 23.1 have problem with
vhdl-comment-uncomment-region function.

Earlier I marked region and did \C-c \C-c, and region was commented or
uncommented. Comment marks '--' were placed on the first column no
matter where code text were started.

In this version (23.1) comment marks are inserted just before code
text without any spaces, and vhdl-comment-uncomment-region function
doesn't know that this row is commented or no, so it makes new comment
and so on....

Regards,

Jerzy Gbur







^ permalink raw reply	[flat|nested] 3+ messages in thread

* bug#5997: migration emacs 22.3 to 23.1
  2010-04-21 13:42 bug#5997: migration emacs 22.3 to 23.1 Jerzy Gbur
@ 2010-04-22 13:39 ` Stefan Monnier
  2010-04-22 15:56   ` Leo
  0 siblings, 1 reply; 3+ messages in thread
From: Stefan Monnier @ 2010-04-22 13:39 UTC (permalink / raw)
  To: Jerzy Gbur; +Cc: 5997

> In this version (23.1) comment marks are inserted just before code
> text without any spaces,

This is probably because vhdl-comment-uncomment-region uses
comment-region, whose default behavior was changed.  See comment-style.

> and vhdl-comment-uncomment-region function doesn't know that this row
> is commented or no, so it makes new comment and so on....

Rather than C-c C-c (which is specific to VHDL) you may want to try M-;
which should work in "any" mode.  This is use comment-region and
uncomment-region.  I can't seem to reproduce your bug with M-;, so that
would seem to indicate that the bug is in vhdl-comment-uncomment-region,
but please try it out and if you can reproduce it with M-; give us
a precise recipe (and if not tell us so as well, so we know where to
find the fix).


        Stefan







^ permalink raw reply	[flat|nested] 3+ messages in thread

* bug#5997: migration emacs 22.3 to 23.1
  2010-04-22 13:39 ` Stefan Monnier
@ 2010-04-22 15:56   ` Leo
  0 siblings, 0 replies; 3+ messages in thread
From: Leo @ 2010-04-22 15:56 UTC (permalink / raw)
  To: bug-gnu-emacs

On 2010-04-22 14:39 +0100, Stefan Monnier wrote:
>> and vhdl-comment-uncomment-region function doesn't know that this row
>> is commented or no, so it makes new comment and so on....
>
> Rather than C-c C-c (which is specific to VHDL) you may want to try M-;
> which should work in "any" mode.

I always hate it when a mode overrides a global binding for no good
reason. It gives surprises on the first use. There are quite a few in
Emacs, unfortunately. To name a few:

1. diff-mode binds M-q
2. M-s that was used to search history is overwritten by the new M-s
   prefix. See for example in ielm.
3. Winner mode key bindings are active in minibuffer which makes ido
   fail to perform some of its functions.

Leo








^ permalink raw reply	[flat|nested] 3+ messages in thread

end of thread, other threads:[~2010-04-22 15:56 UTC | newest]

Thread overview: 3+ messages (download: mbox.gz follow: Atom feed
-- links below jump to the message on this page --
2010-04-21 13:42 bug#5997: migration emacs 22.3 to 23.1 Jerzy Gbur
2010-04-22 13:39 ` Stefan Monnier
2010-04-22 15:56   ` Leo

Code repositories for project(s) associated with this public inbox

	https://git.savannah.gnu.org/cgit/emacs.git

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for read-only IMAP folder(s) and NNTP newsgroup(s).