unofficial mirror of bug-gnu-emacs@gnu.org 
 help / color / mirror / code / Atom feed
From: Cyril Arnould <cyril.arnould@outlook.com>
To: Eli Zaretskii <eliz@gnu.org>
Cc: "54730@debbugs.gnu.org" <54730@debbugs.gnu.org>
Subject: bug#54730: AW: bug#54730: 28.1; vhdl-update-sensitivity-list not working in Windows
Date: Tue, 5 Apr 2022 17:08:28 +0000	[thread overview]
Message-ID: <AM5PR06MB3105E1EA9E78B2D2D4DD92DDE3E49@AM5PR06MB3105.eurprd06.prod.outlook.com> (raw)
In-Reply-To: <83zgkzcwfq.fsf@gnu.org>


[-- Attachment #1.1: Type: text/plain, Size: 2363 bytes --]

I’ve added an example file you can visit in the attachment. To reproduce, simply
put the cursor inside the process (M-g-g 30 RET) and execute
vhdl-update-sensitivity-list-process (C-c C-u C-s). I’m getting the following backtrace:

Debugger entered--Lisp error: (void-variable proc-end)
  (vhdl-re-search-forward "[<:]=" proc-end t)
  eval((vhdl-re-search-forward "[<:]=" proc-end t))
  vhdl-update-sensitivity-list()
  vhdl-update-sensitivity-list-process()
  funcall-interactively(vhdl-update-sensitivity-list-process)
  command-execute(vhdl-update-sensitivity-list-process)

Thanks!

Von: Eli Zaretskii<mailto:eliz@gnu.org>
Gesendet: Dienstag, 5. April 2022 18:43
An: Cyril Arnould<mailto:cyril.arnould@outlook.com>
Cc: 54730@debbugs.gnu.org<mailto:54730@debbugs.gnu.org>
Betreff: Re: bug#54730: 28.1; vhdl-update-sensitivity-list not working in Windows

> From: Cyril Arnould <cyril.arnould@outlook.com>
> Date: Tue, 5 Apr 2022 16:17:37 +0000
>
> vhdl-update-sensitivity-list is not working anymore in Windows.

You say "on Windows" because it works on other systems?

> I have a very simple process:
>
>   -- Flip Flops
>   p_memzing : process (Clk_CI, Rst_RBI)
>   begin
>     if Rst_RBI = '0' then               -- asynchronous reset (active low)
>       BlockCount_DP <= (others => '0');
>       Length_DP     <= (others => '0');
>     elsif Clk_CI'event and Clk_CI = '1' then  -- rising clock edge
>       BlockCount_DP <= BlockCount_DN;
>       Length_DP     <= Length_DN;
>     end if;
>   end process p_memzing;
>
> If I execute vhdl-update-sensitivity-list-process, I get the error
> message "Symbol’s value as variable is void: proc-end". If I execute
> vhdl-update-sensitivity-list-buffer instead, I get no error message but
> the sensitivity list is not updated properly, e.g. if I delete Clk_CI
> from the sensitivity list, it is not added back.

Can you please provide a complete recipe, including any file(s) one
has to visit etc.?  I don't use VHDL, so I need very precise
instructions to reproduce and debug the problem.  I'm a bit surprised
that you get that void-variable error, since I see proc-end being
let-bound in vhdl-update-sensitivity-list.  I'm probably missing
something.  Could you perhaps set debug-on-error non-nil, and post the
Lisp backtrace from the error?

Thanks.


[-- Attachment #1.2: Type: text/html, Size: 5080 bytes --]

[-- Attachment #2: counter.vhdl --]
[-- Type: application/octet-stream, Size: 1103 bytes --]

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity counter is

  port (
    Clk_CI    : in  std_logic;
    Rst_RBI   : in  std_logic);  -- The bitlength of the data

end counter;

architecture Behavioral of counter is

  -----------------------------------------------------------------------------
  -- Signals
  -----------------------------------------------------------------------------
  signal BlockCount_DP, BlockCount_DN : unsigned(58 downto 0);
  -- Stores the length of the current block
  signal Length_DP, Length_DN         : std_logic_vector(4 downto 0);
  
begin  -- Behavioral

  BlockCount_DN <= (others => '0');
  Length_DN <= (others => '0');
  
  -- Flip Flops
  p_memzing : process (Clk_CI, Rst_RBI)
  begin
    if Rst_RBI = '0' then               -- asynchronous reset (active low)
      BlockCount_DP <= (others => '0');
      Length_DP     <= (others => '0');
    elsif Clk_CI'event and Clk_CI = '1' then  -- rising clock edge
      BlockCount_DP <= BlockCount_DN;
      Length_DP     <= Length_DN;
    end if;
  end process p_memzing;

end Behavioral;

  reply	other threads:[~2022-04-05 17:08 UTC|newest]

Thread overview: 10+ messages / expand[flat|nested]  mbox.gz  Atom feed  top
2022-04-05 16:17 bug#54730: 28.1; vhdl-update-sensitivity-list not working in Windows Cyril Arnould
2022-04-05 16:43 ` Eli Zaretskii
2022-04-05 17:08   ` Cyril Arnould [this message]
2022-04-05 17:12     ` bug#54730: AW: " Cyril Arnould
2022-04-05 17:26     ` Eli Zaretskii
2022-04-05 17:53       ` bug#54730: AW: " Cyril Arnould
2022-04-05 18:09         ` Robert Pluim
2022-04-05 21:21           ` Stefan Monnier via Bug reports for GNU Emacs, the Swiss army knife of text editors
2022-04-05 22:27             ` bug#54730: AW: " Cyril Arnould
2022-04-05 18:18         ` Eli Zaretskii

Reply instructions:

You may reply publicly to this message via plain-text email
using any one of the following methods:

* Save the following mbox file, import it into your mail client,
  and reply-to-all from there: mbox

  Avoid top-posting and favor interleaved quoting:
  https://en.wikipedia.org/wiki/Posting_style#Interleaved_style

  List information: https://www.gnu.org/software/emacs/

* Reply using the --to, --cc, and --in-reply-to
  switches of git-send-email(1):

  git send-email \
    --in-reply-to=AM5PR06MB3105E1EA9E78B2D2D4DD92DDE3E49@AM5PR06MB3105.eurprd06.prod.outlook.com \
    --to=cyril.arnould@outlook.com \
    --cc=54730@debbugs.gnu.org \
    --cc=eliz@gnu.org \
    /path/to/YOUR_REPLY

  https://kernel.org/pub/software/scm/git/docs/git-send-email.html

* If your mail client supports setting the In-Reply-To header
  via mailto: links, try the mailto: link
Be sure your reply has a Subject: header at the top and a blank line before the message body.
Code repositories for project(s) associated with this public inbox

	https://git.savannah.gnu.org/cgit/emacs.git

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for read-only IMAP folder(s) and NNTP newsgroup(s).