From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: from mp11.migadu.com ([2001:41d0:8:6d80::]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits)) by ms5.migadu.com with LMTPS id qJyvOJ011GN1cQEAbAwnHQ (envelope-from ) for ; Fri, 27 Jan 2023 21:35:41 +0100 Received: from aspmx1.migadu.com ([2001:41d0:8:6d80::]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits)) by mp11.migadu.com with LMTPS id kImeOJ011GOFNQAA9RJhRA (envelope-from ) for ; Fri, 27 Jan 2023 21:35:41 +0100 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by aspmx1.migadu.com (Postfix) with ESMTPS id 849132FA47 for ; Fri, 27 Jan 2023 21:35:41 +0100 (CET) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRG-0006vI-4q; Fri, 27 Jan 2023 15:35:10 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVRC-0006tY-G9 for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVRC-0004ki-5h for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVRC-0006Pe-2Z for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 9/9] gnu: nextpnr-ice40: Update to 0.5. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:06 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485169824575 (code B ref 60695); Fri, 27 Jan 2023 20:35:06 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:58 +0000 Received: from localhost ([127.0.0.1]:38601 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVR4-0006OE-Cq for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:58 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56916) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQw-0006MV-Kb for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:51 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id D199FE8517 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:43 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id dW_lqgNfgugO for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:43 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 87D5BE850F for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:34 -0500 Message-Id: X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+larch=yhetil.org@gnu.org Sender: guix-patches-bounces+larch=yhetil.org@gnu.org X-Migadu-Flow: FLOW_IN X-Migadu-Country: US ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=yhetil.org; s=key1; t=1674851741; h=from:from:sender:sender:reply-to:subject:subject:date:date: message-id:message-id:to:to:cc:mime-version:mime-version: content-transfer-encoding:content-transfer-encoding:resent-cc: resent-from:resent-sender:resent-message-id:in-reply-to:in-reply-to: references:references:list-id:list-help:list-unsubscribe: list-subscribe:list-post; bh=OeY/9zM/SqQyKqVAD1fkQfD87pLZvwi7WweVTjrxvGA=; b=l9jzeNqkYOSDs3Sn2l8967spIJgj7c+wy8FTzK8PHoYcI0me/XdXZQR6/BPsRvKnxdjqTp z/C/XmVKoqwQIpqcOZUfgA2XenVWfLBXgPq6C5mPODCfr+epW+cZ2A60ZteH223yTlPgKy nHRPs6ixYb9HtqXCVbG4AsAQMYC0Ljk+4b3NPd2pEZlnBvp/2t/oZwSDq1J0qM6KKAFu5B rIs6ltP8X2mLPivmZFJjUWYdC3RTNXNCnFf7H63yaryLXH8oLZbGbf0/S/yWsZ6TvCxw++ yvUhfm1m0ps40TJnxJgAi6NItUP2b3ptU/rFb1hfYWKB2u40Laq5A/4n2jrnKw== ARC-Authentication-Results: i=1; aspmx1.migadu.com; dkim=none; dmarc=none; spf=pass (aspmx1.migadu.com: domain of "guix-patches-bounces+larch=yhetil.org@gnu.org" designates 209.51.188.17 as permitted sender) smtp.mailfrom="guix-patches-bounces+larch=yhetil.org@gnu.org" ARC-Seal: i=1; s=key1; d=yhetil.org; t=1674851741; a=rsa-sha256; cv=none; b=W+kT7xTTnhSgMOmQEBBBka42FRbaiXXCsw2OR9p1q4jw0JaV8Rn7WB5fgZG3b94JNNg2go 92B9mcID0Mt9iMhHqTz4gWu4JIGQ/tLhGyd05jYzuNX1qi0rUWLPGvC+MurFm0ril7CZ4s sI6U2j8UR9tqlHwIrowZqhwyZZKyYltJxsBzh/nJyrBz6seRJkRBiDKo+UtsyReEzsIEH9 6OpiRLgKcX219Bb6EOYQ72gpFk6w6f8BPUFUhZcx4d7sHZNq2/E/GwReUs25G09N5a4Pts HAAIlqF/Tdx5Vj5ORNBhJgRj087dBn8AO6qU4XHLEryrRJHU1U/FnCkbfx2+PA== Authentication-Results: aspmx1.migadu.com; dkim=none; dmarc=none; spf=pass (aspmx1.migadu.com: domain of "guix-patches-bounces+larch=yhetil.org@gnu.org" designates 209.51.188.17 as permitted sender) smtp.mailfrom="guix-patches-bounces+larch=yhetil.org@gnu.org" X-Migadu-Scanner: scn0.migadu.com X-Migadu-Spam-Score: -1.17 X-Spam-Score: -1.17 X-Migadu-Queue-Id: 849132FA47 X-TUID: vwAoJAdK0HX8 * gnu/packages/fpga.scm (nextpnr-ice40): Update to 0.5. [arguments]<#:configure-flags>: Add "-DBUILD_GUI", "-DUSE_IPO"; update "-DCURRENT_GIT_VERSION"; rename "-DICEBOX_ROOT" to "-DICESTORM_INSTALL_PREFIX". --- gnu/packages/fpga.scm | 87 ++++++++++++++++++++++--------------------- 1 file changed, 45 insertions(+), 42 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 912b6cfb77..54a8d9550e 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -247,45 +247,48 @@ (define-public icestorm (license license:isc)))) (define-public nextpnr-ice40 - (let [(commit "fbe486df459909065d6852a7495a212dfd2accef") - (revision "1")] + (let* ((version "0.5") + (tag (string-append "nextpnr-" version))) (package (name "nextpnr-ice40") - (version (git-version "0.0.0" revision commit)) + (version version) (source - (origin - (method git-fetch) - (uri (git-reference - (url "https://github.com/YosysHQ/nextpnr") - (commit commit) - (recursive? #t))) - (file-name (git-file-name name version)) - (sha256 - (base32 - "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")) - (modules '((guix build utils))) - (snippet - #~(begin - ;; Remove bundled source code for which Guix has packages. - ;; Note the bundled copies of json11 and python-console contain - ;; modifications, while QtPropertyBrowser appears to be - ;; abandoned and without an official source. - (with-directory-excursion "3rdparty" - (for-each delete-file-recursively - '("googletest" "imgui" "pybind11" "qtimgui" - "sanitizers-cmake"))) + (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/YosysHQ/nextpnr") + (commit tag) + (recursive? #t))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "119iqxxzbxq2qy8x20awf9gr0nf3y1yjmk36adsg89ly3rb9gwzk")) + (modules '((guix build utils))) + (snippet + #~(begin + ;; Remove bundled source code for which Guix has packages. + ;; Note the bundled copies of json11 and python-console contain + ;; modifications, while QtPropertyBrowser appears to be + ;; abandoned and without an official source. + ;; fpga-interchange-schema is used only by the + ;; "fpga_interchange" architecture target, which this package + ;; doesn't build. + (with-directory-excursion "3rdparty" + (for-each delete-file-recursively + '("googletest" "imgui" "pybind11" "qtimgui" + "sanitizers-cmake"))) - ;; Remove references to unbundled code and link against - ;; external libraries instead. - (substitute* "CMakeLists.txt" - (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") - (("^(\\s+target_link_libraries.*)( gtest_main\\))" - _ prefix suffix) - (string-append prefix " gtest" suffix))) - (substitute* "gui/CMakeLists.txt" - (("^\\s+../3rdparty/(qt)?imgui.*") "") - (("^(target_link_libraries.*)\\)" _ prefix) - (string-append prefix " imgui qt_imgui_widgets)"))))))) + ;; Remove references to unbundled code and link against external + ;; libraries instead. + (substitute* "CMakeLists.txt" + (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") + (("^(\\s+target_link_libraries.*)( gtest_main\\))" + _ prefix suffix) + (string-append prefix " gtest" suffix))) + (substitute* "gui/CMakeLists.txt" + (("^\\s+../3rdparty/(qt)?imgui.*") "") + (("^(target_link_libraries.*)\\)" _ prefix) + (string-append prefix " imgui qt_imgui_widgets)"))))))) (native-inputs (list googletest sanitizers-cmake)) (inputs @@ -303,12 +306,12 @@ (define-public nextpnr-ice40 (list #:configure-flags #~(list "-DARCH=ice40" + "-DBUILD_GUI=ON" "-DBUILD_TESTS=ON" - (string-append "-DCURRENT_GIT_VERSION=" - #$(string-take commit 8)) - (string-append "-DICEBOX_ROOT=" - #$(this-package-input "icestorm") - "/share/icebox")) + (string-append "-DCURRENT_GIT_VERSION=" #$tag) + (string-append "-DICESTORM_INSTALL_PREFIX=" + #$(this-package-input "icestorm")) + "-DUSE_IPO=OFF") #:phases #~(modify-phases %standard-phases (add-after 'unpack 'patch-source @@ -334,8 +337,8 @@ (define-public nextpnr-ice40 "include/qtimgui") suffix)))))))) (synopsis "Place-and-Route tool for FPGAs") - (description "Nextpnr aims to be a vendor neutral, timing driven, -FOSS FPGA place and route tool.") + (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS +FPGA place and route tool.") (home-page "https://github.com/YosysHQ/nextpnr") (license license:expat)))) -- 2.38.1