From mboxrd@z Thu Jan 1 00:00:00 1970 From: Theodoros Foradis Subject: [PATCH 0/3] Add xdot and update yosys Date: Sat, 26 Nov 2016 16:27:55 +0200 Message-ID: <20161126142758.25457-1-theodoros.for@openmailbox.org> Return-path: Received: from eggs.gnu.org ([2001:4830:134:3::10]:54880) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1cAe2P-0006Oq-PJ for guix-devel@gnu.org; Sat, 26 Nov 2016 09:33:10 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1cAe2M-0005RR-LA for guix-devel@gnu.org; Sat, 26 Nov 2016 09:33:09 -0500 Received: from smtp21.openmailbox.org ([62.4.1.55]:60785 helo=smtp6.openmailbox.org) by eggs.gnu.org with esmtps (TLS1.0:DHE_RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1cAe2M-0005R3-Be for guix-devel@gnu.org; Sat, 26 Nov 2016 09:33:06 -0500 List-Id: "Development of GNU Guix and the GNU System distribution." List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-devel-bounces+gcggd-guix-devel=m.gmane.org@gnu.org Sender: "Guix-devel" To: guix-devel@gnu.org Hello guix, This patch adds xdot, a viewer for graphviz graphs, and adds support for it in yosys(it was a TODO item). Functionality can be tested following the examples in this webpage: http://www.clifford.at/yosys/screenshots.html The diagrams at each step, can be previewed with xdot, issuing the command 'show counter'. Also, yosys is updated to the latest release, 0.7. Regards, -- Theodoros Foradis