From mboxrd@z Thu Jan 1 00:00:00 1970 From: ludo@gnu.org (Ludovic =?utf-8?Q?Court=C3=A8s?=) Subject: Re: Specifying and build output separately? Date: Mon, 17 Oct 2016 10:55:48 +0200 Message-ID: <87y41ne4mj.fsf@gnu.org> References: <118ccdd8-3b7f-2b35-5f5e-69375c1a4565@crazy-compilers.com> Mime-Version: 1.0 Content-Type: text/plain; charset=utf-8 Content-Transfer-Encoding: quoted-printable Return-path: Received: from eggs.gnu.org ([2001:4830:134:3::10]:39238) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1bw3i7-0002lL-Ax for guix-devel@gnu.org; Mon, 17 Oct 2016 04:55:56 -0400 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1bw3i3-00054B-Ag for guix-devel@gnu.org; Mon, 17 Oct 2016 04:55:55 -0400 In-Reply-To: <118ccdd8-3b7f-2b35-5f5e-69375c1a4565@crazy-compilers.com> (Hartmut Goebel's message of "Sat, 15 Oct 2016 10:06:34 +0200") List-Id: "Development of GNU Guix and the GNU System distribution." List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-devel-bounces+gcggd-guix-devel=m.gmane.org@gnu.org Sender: "Guix-devel" To: Hartmut Goebel Cc: Guix-devel Hello, Hartmut Goebel skribis: > we have several cyclic dependencies due to e.g. packages depending on > each other only for building the documentation. Examples are numpy and > matpltlib. > > So I wonder it it would be possible to to something like this: > > (define-public numpy:doc > (inherit numpy) > (name "numpy:doc") > (outputs "doc") > (inputs =E2=80=A6 matplotlib =E2=80=A6) > (=E2=80=A6 modify-phases =E2=80=A6)) Making the documentation a separate package, instead of a separate output, is of course doable and it could help address the circular reference issue. Currently the approach used for numpy is a different one: there=E2=80=99s a =E2=80=9Cbootstrap=E2=80=9D package lacking documentation that is used as i= nput to the final package, which has an extra =E2=80=9Cdoc=E2=80=9D output. I prefer this approach over what you propose because it=E2=80=99s more consistent with what we usually do, which is to have doc in a =E2=80=9Cdoc= =E2=80=9D output rather than in a separate package. Thanks, Ludo=E2=80=99.