From mboxrd@z Thu Jan 1 00:00:00 1970 From: Danny Milosavljevic Subject: [PATCH v2 5/6] gnu: fpga: Add icestorm. Date: Tue, 16 Aug 2016 20:06:52 +0200 Message-ID: <20160816180653.22524-6-dannym@scratchpost.org> References: <20160816180653.22524-1-dannym@scratchpost.org> Mime-Version: 1.0 Content-Type: multipart/mixed; boundary="------------2.9.1" Return-path: Received: from eggs.gnu.org ([2001:4830:134:3::10]:38321) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1bZilw-0002PG-8X for guix-devel@gnu.org; Tue, 16 Aug 2016 14:07:38 -0400 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1bZilq-0007ya-10 for guix-devel@gnu.org; Tue, 16 Aug 2016 14:07:31 -0400 Received: from dd1012.kasserver.com ([85.13.128.8]:43549) by eggs.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1bZilp-0007yI-Qp for guix-devel@gnu.org; Tue, 16 Aug 2016 14:07:25 -0400 In-Reply-To: <20160816180653.22524-1-dannym@scratchpost.org> List-Id: "Development of GNU Guix and the GNU System distribution." List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-devel-bounces+gcggd-guix-devel=m.gmane.org@gnu.org Sender: "Guix-devel" To: guix-devel@gnu.org This is a multi-part message in MIME format. --------------2.9.1 Content-Type: text/plain; charset=UTF-8; format=fixed Content-Transfer-Encoding: quoted-printable * gnu/packages/fpga.scm (icestorm): New variable. --- gnu/packages/fpga.scm | 37 +++++++++++++++++++++++++++++++++++++ 1 file changed, 37 insertions(+) --------------2.9.1 Content-Type: text/x-patch; name="0005-gnu-fpga-Add-icestorm.patch" Content-Disposition: attachment; filename="0005-gnu-fpga-Add-icestorm.patch" Content-Transfer-Encoding: quoted-printable diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 0e51626..25c4570 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -189,3 +189,40 @@ For synthesis, the compiler generates netlists in th= e desired format.") (synopsis "FPGA Verilog RTL Synthesizer") (description "Yosys synthesizes Verilog-2005.") (license license:isc))) + +(define-public icestorm + (let ((commit "12b2295c9087d94b75e374bb205ae4d76cf17e2f")) + (package + (name "icestorm") + (version (string-append "0.0-" (string-take commit 7))) + (source (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/cliffordwolf/icestorm.git"= ) + (commit commit))) + (file-name (string-append name "-" version "-checkout")) + (sha256 + (base32 + "1mmzlqvap6w8n4qzv3idvy51arkgn03692ssplwncy3akjrbsd2b= ")))) + (build-system gnu-build-system) + (arguments + `(#:tests? #f ; no unit tests that don't need an FPGA exist. + #:make-flags (list "CC=3Dgcc" "CXX=3Dg++" + (string-append "PREFIX=3D" (assoc-ref %outputs= "out"))) + #:phases + (modify-phases %standard-phases + (add-after 'unpack 'remove-usr-local + (lambda _ + (substitute* "iceprog/Makefile" + (("-L/usr/local/lib") "")))) + (delete 'configure)))) + (inputs + `(("libftdi" ,libftdi))) + (native-inputs + `(("python-3" ,python) + ("pkg-config" ,pkg-config))) + (home-page "http://www.clifford.at/icestorm/") + (synopsis "Project IceStorm - Lattice iCE40 FPGAs Bitstream Tools") + (description "Project IceStorm - Lattice iCE40 FPGAs Bitstream Tools= .=20 +Includes the actual FTDI connector.") + (license license:isc)))) ; FIXME more licenses? --------------2.9.1--