From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.org!not-for-mail From: "ryan" Newsgroups: gmane.emacs.help Subject: Disabling VHDL template Date: Wed, 09 Jan 2013 11:13:57 -0800 Message-ID: NNTP-Posting-Host: plane.gmane.org Mime-Version: 1.0 Content-Type: text/plain;charset=utf-8 Content-Transfer-Encoding: 8bit X-Trace: ger.gmane.org 1357759485 21153 80.91.229.3 (9 Jan 2013 19:24:45 GMT) X-Complaints-To: usenet@ger.gmane.org NNTP-Posting-Date: Wed, 9 Jan 2013 19:24:45 +0000 (UTC) To: help-gnu-emacs@gnu.org Original-X-From: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Wed Jan 09 20:25:03 2013 Return-path: Envelope-to: geh-help-gnu-emacs@m.gmane.org Original-Received: from lists.gnu.org ([208.118.235.17]) by plane.gmane.org with esmtp (Exim 4.69) (envelope-from ) id 1Tt1Gn-0005pz-A5 for geh-help-gnu-emacs@m.gmane.org; Wed, 09 Jan 2013 20:25:01 +0100 Original-Received: from localhost ([::1]:55162 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1Tt1GX-0005SR-Iu for geh-help-gnu-emacs@m.gmane.org; Wed, 09 Jan 2013 14:24:45 -0500 Original-Received: from eggs.gnu.org ([208.118.235.92]:56840) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1Tt16B-00036K-4A for help-gnu-emacs@gnu.org; Wed, 09 Jan 2013 14:14:05 -0500 Original-Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1Tt168-000456-JE for help-gnu-emacs@gnu.org; Wed, 09 Jan 2013 14:14:03 -0500 Original-Received: from mx4.webnames.ca ([65.39.140.93]:31535) by eggs.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1Tt168-00044f-D2 for help-gnu-emacs@gnu.org; Wed, 09 Jan 2013 14:14:00 -0500 X-IronPort-Anti-Spam-Filtered: true X-IronPort-Anti-Spam-Result: AqAIAMPA7VAKCQkI/2dsb2JhbABEhjm2GgQEgRVzgkgPAWoEDQImAhKvZY9agSKMT4IWgRMDiGKFNo1fiROEYA Original-Received: from [142.3.65.25] (account ryan@kinnear.ca) by redback.webnames.ca (CommuniGate Pro WEBUSER 5.4.4) with HTTP id 155230656 for help-gnu-emacs@gnu.org; Wed, 09 Jan 2013 11:13:57 -0800 X-Mailer: CommuniGate Pro WebUser v5.4.4 X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 65.39.140.93 X-Mailman-Approved-At: Wed, 09 Jan 2013 14:24:41 -0500 X-BeenThere: help-gnu-emacs@gnu.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: Users list for the GNU Emacs text editor List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Original-Sender: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Xref: news.gmane.org gmane.emacs.help:88537 Archived-At: Hello, I just installed ghdl on my centos machine, and I am trying to use emacs to write vhdl. However, everytime I use a key word like 'use' or 'entity' emacs goes into some kind of template mode. I did some reading on the emacs FAQ, and figured I should be able to disable it by removing some lines in the .emacs file. However, the only .emacs file i can find is in root's directory, and it doesn't seem to contain anything related to templates (it is almost bare). I can't find anything else on google either, so I am pretty lost at this point. Can anyone point me in the right direction for disabling the vhdl templates? Thanks -Ryan