all messages for Emacs-related lists mirrored at yhetil.org
 help / color / mirror / code / Atom feed
* Use emacs function (beautify) in emacs script
@ 2009-10-07  8:04 Beware
  2009-10-07  8:43 ` mdj
  0 siblings, 1 reply; 4+ messages in thread
From: Beware @ 2009-10-07  8:04 UTC (permalink / raw)
  To: help-gnu-emacs

Hi all,

I used a simply script to auto indent my VHDL code files. But in emacs
there is a function which set all VHDL keywords in uppercase  with
beautify function in VHDL mode menu.

So i would to use this function in my script but i don't know how.

If someone can help me, i very appreciate.


Thanks


^ permalink raw reply	[flat|nested] 4+ messages in thread

end of thread, other threads:[~2009-10-07 14:20 UTC | newest]

Thread overview: 4+ messages (download: mbox.gz follow: Atom feed
-- links below jump to the message on this page --
2009-10-07  8:04 Use emacs function (beautify) in emacs script Beware
2009-10-07  8:43 ` mdj
2009-10-07  9:42   ` Beware
2009-10-07 14:20   ` Beware

Code repositories for project(s) associated with this external index

	https://git.savannah.gnu.org/cgit/emacs.git
	https://git.savannah.gnu.org/cgit/emacs/org-mode.git

This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.