From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.org!not-for-mail From: "mans" <(myname_here)_123456@yahoo.com> Newsgroups: gmane.emacs.help Subject: VHDL and Emacs (My experience) Date: Sat, 28 Apr 2007 19:03:10 GMT Message-ID: NNTP-Posting-Host: lo.gmane.org X-Trace: sea.gmane.org 1177788964 24733 80.91.229.12 (28 Apr 2007 19:36:04 GMT) X-Complaints-To: usenet@sea.gmane.org NNTP-Posting-Date: Sat, 28 Apr 2007 19:36:04 +0000 (UTC) To: help-gnu-emacs@gnu.org Original-X-From: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Sat Apr 28 21:36:02 2007 Return-path: Envelope-to: geh-help-gnu-emacs@m.gmane.org Original-Received: from lists.gnu.org ([199.232.76.165]) by lo.gmane.org with esmtp (Exim 4.50) id 1Hhsi9-0007Oc-IE for geh-help-gnu-emacs@m.gmane.org; Sat, 28 Apr 2007 21:36:01 +0200 Original-Received: from localhost ([127.0.0.1] helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1Hhso8-0000r4-Kz for geh-help-gnu-emacs@m.gmane.org; Sat, 28 Apr 2007 15:42:12 -0400 Original-Path: shelby.stanford.edu!newshub.stanford.edu!news.tele.dk!news.tele.dk!small.news.tele.dk!multikabel.net!feed20.multikabel.net!txtfeed2.tudelft.nl!tudelft.nl!binfeed1.tudelft.nl!border1.nntp.ams.giganews.com!border2.nntp.ams.giganews.com!nntp.giganews.com!pe2.news.blueyonder.co.uk!blueyonder!pe1.news.blueyonder.co.uk!blueyonder!fe1.news.blueyonder.co.uk.POSTED!53ab2750!not-for-mail Original-Newsgroups: comp.lang.vhdl,gnu.emacs.help Original-Lines: 53 X-Priority: 3 X-MSMail-Priority: Normal X-Newsreader: Microsoft Outlook Express 6.00.2900.3028 X-RFC2646: Format=Flowed; Original X-MimeOLE: Produced By Microsoft MimeOLE V6.00.2900.3028 Original-NNTP-Posting-Host: 82.41.48.133 Original-X-Complaints-To: http://www.virginmedia.com/netreport Original-X-Trace: fe1.news.blueyonder.co.uk 1177786990 82.41.48.133 (Sat, 28 Apr 2007 20:03:10 BST) Original-NNTP-Posting-Date: Sat, 28 Apr 2007 20:03:10 BST Original-Xref: shelby.stanford.edu comp.lang.vhdl:66463 gnu.emacs.help:147721 X-BeenThere: help-gnu-emacs@gnu.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: Users list for the GNU Emacs text editor List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Original-Sender: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Errors-To: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Xref: news.gmane.org gmane.emacs.help:43325 Archived-At: Hello, I am new in VHDL and Emacs and I tried to use Emacs for editing VHDL and attaching it to ISE. My experience is as follow: Overall: Emacs has a very good editor for VHDL editing. It has several good features such as auto indentation and syntax highlighting. Over all it is very good if you can use it (I could not!) What I did: I download my copy of Emacs from http://www.ourcomments.org/Emacs/EmacsW32.html . I installed it in my windows XP professional system and setup ISE to use it. It works well with ISE. Problems that I had: 1- Its keyboard shortcuts are not windows based. I tried to configure wmacsw32 without any success. 2- It is very slow to start ( I didn't use emacs clinets) 3- I read that you can see a hierarchy of your VHDL code, but I can not turn it on (I don't know how to turn it on!). 4- I can not find any documentation on facilities which are available in VHDL mode. 5- Some times when I try to click on VHDL menu, this menu item disappears! Best regards Ps: Does Eclipse support VHDL?