all messages for Emacs-related lists mirrored at yhetil.org
 help / color / mirror / code / Atom feed
* Use emacs function (beautify) in emacs script
@ 2009-10-07  8:04 Beware
  2009-10-07  8:43 ` mdj
  0 siblings, 1 reply; 4+ messages in thread
From: Beware @ 2009-10-07  8:04 UTC (permalink / raw)
  To: help-gnu-emacs

Hi all,

I used a simply script to auto indent my VHDL code files. But in emacs
there is a function which set all VHDL keywords in uppercase  with
beautify function in VHDL mode menu.

So i would to use this function in my script but i don't know how.

If someone can help me, i very appreciate.


Thanks


^ permalink raw reply	[flat|nested] 4+ messages in thread

* Re: Use emacs function (beautify) in emacs script
  2009-10-07  8:04 Use emacs function (beautify) in emacs script Beware
@ 2009-10-07  8:43 ` mdj
  2009-10-07  9:42   ` Beware
  2009-10-07 14:20   ` Beware
  0 siblings, 2 replies; 4+ messages in thread
From: mdj @ 2009-10-07  8:43 UTC (permalink / raw)
  To: help-gnu-emacs

On Oct 7, 6:04 pm, Beware <mathieu.hed...@gmail.com> wrote:
> Hi all,
>
> I used a simply script to auto indent my VHDL code files. But in emacs
> there is a function which set all VHDL keywords in uppercase  with
> beautify function in VHDL mode menu.
>
> So i would to use this function in my script but i don't know how.
>
> If someone can help me, i very appreciate.

From the VHDL mode help (which you can read yourself if you open a
buffer in VHDL mode with C-h m):

 CODE BEAUTIFICATION:
    `C-c M-b' and `C-c C-b' beautify the code of a region or of the
entire
    buffer respectively.  This inludes indentation, alignment, and
case
    fixing.  Code beautification can also be run non-interactively
using the
    command:

      emacs -batch -l ~/.emacs filename.vhd -f vhdl-beautify-buffer


Matt


^ permalink raw reply	[flat|nested] 4+ messages in thread

* Re: Use emacs function (beautify) in emacs script
  2009-10-07  8:43 ` mdj
@ 2009-10-07  9:42   ` Beware
  2009-10-07 14:20   ` Beware
  1 sibling, 0 replies; 4+ messages in thread
From: Beware @ 2009-10-07  9:42 UTC (permalink / raw)
  To: help-gnu-emacs

On 7 oct, 10:43, mdj <mdj....@gmail.com> wrote:
> On Oct 7, 6:04 pm, Beware <mathieu.hed...@gmail.com> wrote:
>
> > Hi all,
>
> > I used a simply script to auto indent my VHDL code files. But in emacs
> > there is a function which set all VHDL keywords in uppercase  with
> > beautify function in VHDL mode menu.
>
> > So i would to use this function in my script but i don't know how.
>
> > If someone can help me, i very appreciate.
>
> From the VHDL mode help (which you can read yourself if you open a
> buffer in VHDL mode with C-h m):
>
>  CODE BEAUTIFICATION:
>     `C-c M-b' and `C-c C-b' beautify the code of a region or of the
> entire
>     buffer respectively.  This inludes indentation, alignment, and
> case
>     fixing.  Code beautification can also be run non-interactively
> using the
>     command:
>
>       emacs -batch -l ~/.emacs filename.vhd -f vhdl-beautify-buffer
>
> Matt

Thanks for your answer
Its work perfectly for now.

thank you


^ permalink raw reply	[flat|nested] 4+ messages in thread

* Re: Use emacs function (beautify) in emacs script
  2009-10-07  8:43 ` mdj
  2009-10-07  9:42   ` Beware
@ 2009-10-07 14:20   ` Beware
  1 sibling, 0 replies; 4+ messages in thread
From: Beware @ 2009-10-07 14:20 UTC (permalink / raw)
  To: help-gnu-emacs

On 7 oct, 10:43, mdj <mdj....@gmail.com> wrote:
> On Oct 7, 6:04 pm, Beware <mathieu.hed...@gmail.com> wrote:
>
> > Hi all,
>
> > I used a simply script to auto indent my VHDL code files. But in emacs
> > there is a function which set all VHDL keywords in uppercase  with
> > beautify function in VHDL mode menu.
>
> > So i would to use this function in my script but i don't know how.
>
> > If someone can help me, i very appreciate.
>
> From the VHDL mode help (which you can read yourself if you open a
> buffer in VHDL mode with C-h m):
>
>  CODE BEAUTIFICATION:
>     `C-c M-b' and `C-c C-b' beautify the code of a region or of the
> entire
>     buffer respectively.  This inludes indentation, alignment, and
> case
>     fixing.  Code beautification can also be run non-interactively
> using the
>     command:
>
>       emacs -batch -l ~/.emacs filename.vhd -f vhdl-beautify-buffer
>
> Matt

Hi,

I've another question.
In my script i adjust my options :

(custom-set-variables
 	'(vhdl-upper-case-attributes t)
	'(vhdl-upper-case-keywords t)
	'(vhdl-upper-case-types t)
)

But some functions are don't take in account, like : falling_edge or
rising_edge. however they appear in the vhdl-mode.el file under
"defconst vhdl-02-functions"
So, my question is, how to uppercase these keywords too, because (vhdl-
upper-case-functions t) don't exist (or i don't see it).

Thanks


^ permalink raw reply	[flat|nested] 4+ messages in thread

end of thread, other threads:[~2009-10-07 14:20 UTC | newest]

Thread overview: 4+ messages (download: mbox.gz follow: Atom feed
-- links below jump to the message on this page --
2009-10-07  8:04 Use emacs function (beautify) in emacs script Beware
2009-10-07  8:43 ` mdj
2009-10-07  9:42   ` Beware
2009-10-07 14:20   ` Beware

Code repositories for project(s) associated with this external index

	https://git.savannah.gnu.org/cgit/emacs.git
	https://git.savannah.gnu.org/cgit/emacs/org-mode.git

This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.