From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.org!not-for-mail From: "haws" Newsgroups: gmane.emacs.help Subject: Custom indentation in Emacs Vhdl-mode Date: 11 Jan 2007 07:01:55 -0800 Organization: http://groups.google.com Message-ID: <1168527715.313841.300590@i39g2000hsf.googlegroups.com> NNTP-Posting-Host: lo.gmane.org Mime-Version: 1.0 Content-Type: text/plain; charset="iso-8859-1" X-Trace: sea.gmane.org 1168530082 10967 80.91.229.12 (11 Jan 2007 15:41:22 GMT) X-Complaints-To: usenet@sea.gmane.org NNTP-Posting-Date: Thu, 11 Jan 2007 15:41:22 +0000 (UTC) Original-X-From: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Thu Jan 11 16:41:17 2007 Return-path: Envelope-to: geh-help-gnu-emacs@m.gmane.org Original-Received: from lists.gnu.org ([199.232.76.165]) by lo.gmane.org with esmtp (Exim 4.50) id 1H5237-0003OB-Sk for geh-help-gnu-emacs@m.gmane.org; Thu, 11 Jan 2007 16:41:06 +0100 Original-Received: from localhost ([127.0.0.1] helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1H5237-0007Aa-Nj for geh-help-gnu-emacs@m.gmane.org; Thu, 11 Jan 2007 10:41:05 -0500 Original-Path: shelby.stanford.edu!newsfeed.stanford.edu!postnews.google.com!i39g2000hsf.googlegroups.com!not-for-mail Original-Newsgroups: gnu.emacs.help Original-Lines: 20 Original-NNTP-Posting-Host: 201.21.235.16 Original-X-Trace: posting.google.com 1168527717 19119 127.0.0.1 (11 Jan 2007 15:01:57 GMT) Original-X-Complaints-To: groups-abuse@google.com Original-NNTP-Posting-Date: Thu, 11 Jan 2007 15:01:57 +0000 (UTC) User-Agent: G2/1.0 X-HTTP-UserAgent: Mozilla/5.0 (Windows; U; Windows NT 5.1; pt-BR; rv:1.8.1.1) Gecko/20061204 Firefox/2.0.0.1,gzip(gfe),gzip(gfe) X-HTTP-Via: 1.1 fw.datacom-telematica.com.br:3128 (squid/2.5.STABLE6) Complaints-To: groups-abuse@google.com Injection-Info: i39g2000hsf.googlegroups.com; posting-host=201.21.235.16; posting-account=pG57fA0AAADtQ-4h1MyvjXjZpSNZC0zj Original-Xref: shelby.stanford.edu gnu.emacs.help:144635 Original-To: help-gnu-emacs@gnu.org X-BeenThere: help-gnu-emacs@gnu.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: Users list for the GNU Emacs text editor List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Original-Sender: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Errors-To: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Xref: news.gmane.org gmane.emacs.help:40240 Archived-At: Hello! I hope some emacs guru can give me a tip on this :) My organization requires that the following indentation is used in this particular section of the code: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; Can I customize vhdl-mode to beautify like that to me? The problem is that those 4 lines all return the same value in "Syntactic Info" ( = statement), so I have no clue if what I want is possible. Any ideas? Thanks, Hugo