From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.org!not-for-mail From: Mike Treseler Newsgroups: gmane.emacs.help Subject: Re: Custom indentation in Emacs Vhdl-mode Date: Fri, 26 Jan 2007 09:54:31 -0800 Message-ID: <51utinF1l6u40U1@mid.individual.net> References: <1168527687.560869.42360@k58g2000hse.googlegroups.com> <3k2fq2l3lgvcfe7t45o1binaef0872p5ok@4ax.com> <50polbF1grf3jU1@mid.individual.net> <51sfeuF1m0akrU1@mid.individual.net> <1169822919.212235.9970@m58g2000cwm.googlegroups.com> NNTP-Posting-Host: lo.gmane.org Mime-Version: 1.0 Content-Type: text/plain; charset=ISO-8859-1 Content-Transfer-Encoding: 7bit X-Trace: sea.gmane.org 1169836833 10573 80.91.229.12 (26 Jan 2007 18:40:33 GMT) X-Complaints-To: usenet@sea.gmane.org NNTP-Posting-Date: Fri, 26 Jan 2007 18:40:33 +0000 (UTC) To: help-gnu-emacs@gnu.org Original-X-From: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Fri Jan 26 19:40:25 2007 Return-path: Envelope-to: geh-help-gnu-emacs@m.gmane.org Original-Received: from lists.gnu.org ([199.232.76.165]) by lo.gmane.org with esmtp (Exim 4.50) id 1HAVzt-0004lj-K5 for geh-help-gnu-emacs@m.gmane.org; Fri, 26 Jan 2007 19:40:25 +0100 Original-Received: from localhost ([127.0.0.1] helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1HAVzt-0003Mk-53 for geh-help-gnu-emacs@m.gmane.org; Fri, 26 Jan 2007 13:40:25 -0500 Original-Path: shelby.stanford.edu!newsfeed.stanford.edu!news.tele.dk!news.tele.dk!small.news.tele.dk!fu-berlin.de!uni-berlin.de!individual.net!not-for-mail Original-Newsgroups: comp.lang.vhdl,gnu.emacs.help Original-Lines: 26 Original-X-Trace: individual.net hV8/Rjnw3UicWvHjMB8KLQL4E9tW9iAunrfvDwpBpLUh0MLzIa User-Agent: Thunderbird 1.5.0.9 (X11/20060911) In-Reply-To: <1169822919.212235.9970@m58g2000cwm.googlegroups.com> Original-Xref: shelby.stanford.edu comp.lang.vhdl:65299 gnu.emacs.help:145074 X-BeenThere: help-gnu-emacs@gnu.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: Users list for the GNU Emacs text editor List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Original-Sender: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Errors-To: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Xref: news.gmane.org gmane.emacs.help:40677 Archived-At: HS wrote: > My approach is a little different... I have a vhdl file template that is > inserted when I run "Insert header", and it already contains ieee > clauses, entity and architecture pairs, etc. >>> Mike Treseler wrote: >>> (defun vhdl-ieee () "Insert ieee use clauses" >>> (interactive) >>> (insert " >>> library ieee; >>> use ieee.std_logic_1164.all; >>> use ieee.numeric_std.all; >>> ")) > On 26 jan, 11:17, Martin Thompson wrote: >> And add this: >> (define-key vhdl-template-map "\C-pi" 'vhdl-ieee) >> to add it to the VHDL-template keymappings, (so you do C-c C-t C-p i >> to insert it). Now I need to figure out how to get it onto the >> menu... Thanks to HS and Martin for the vhdl-mode tips. Looks like emacs wins round one 3 to nil :) -- Mike Treseler