From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.org!not-for-mail From: Mike Treseler Newsgroups: gmane.emacs.help Subject: Re: (vhdl-mode) Customizing instantiation name Date: Mon, 22 Jan 2007 12:31:24 -0800 Message-ID: <51kl8tF1kqqg5U1@mid.individual.net> References: <1169497399.050302.320780@51g2000cwl.googlegroups.com> NNTP-Posting-Host: lo.gmane.org Mime-Version: 1.0 Content-Type: text/plain; charset=ISO-8859-1 Content-Transfer-Encoding: 7bit X-Trace: sea.gmane.org 1169498646 27461 80.91.229.12 (22 Jan 2007 20:44:06 GMT) X-Complaints-To: usenet@sea.gmane.org NNTP-Posting-Date: Mon, 22 Jan 2007 20:44:06 +0000 (UTC) Original-X-From: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Mon Jan 22 21:44:05 2007 Return-path: Envelope-to: geh-help-gnu-emacs@m.gmane.org Original-Received: from lists.gnu.org ([199.232.76.165]) by lo.gmane.org with esmtp (Exim 4.50) id 1H961F-0005zV-Vp for geh-help-gnu-emacs@m.gmane.org; Mon, 22 Jan 2007 21:43:58 +0100 Original-Received: from localhost ([127.0.0.1] helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1H961F-0007yS-DB for geh-help-gnu-emacs@m.gmane.org; Mon, 22 Jan 2007 15:43:57 -0500 Original-Path: shelby.stanford.edu!newsfeed.stanford.edu!newsfeed.gamma.ru!Gamma.RU!image.surnet.ru!fu-berlin.de!uni-berlin.de!individual.net!not-for-mail Original-Newsgroups: gnu.emacs.help Original-Lines: 20 Original-X-Trace: individual.net XohMohWgHUc67KqmB5wcSQYb+GhRMUW1Tz9KKuBHYAUZrpxQUE User-Agent: Thunderbird 1.5.0.9 (X11/20060911) In-Reply-To: <1169497399.050302.320780@51g2000cwl.googlegroups.com> Original-Xref: shelby.stanford.edu gnu.emacs.help:144932 Original-To: help-gnu-emacs@gnu.org X-BeenThere: help-gnu-emacs@gnu.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: Users list for the GNU Emacs text editor List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Original-Sender: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Errors-To: help-gnu-emacs-bounces+geh-help-gnu-emacs=m.gmane.org@gnu.org Xref: news.gmane.org gmane.emacs.help:40536 Archived-At: HS wrote: > Once more I'm asking about vhdl mode... > This time I'd like to know if there is a way to customize the string > that is used when I do a "Copy port", then "`Paste as instance". > Currently what I get is: > > bert_1: bert > generic map ... > > and what I need is: > bert_1 : entity work.bert > > Anyone knows how to get that ? :) M-x customize-variable Customize variable: vhdl-use-direct-instantiation -- Mike Treseler