From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.io!.POSTED.blaine.gmane.org!not-for-mail From: Cyril Arnould Newsgroups: gmane.emacs.bugs Subject: bug#54730: AW: bug#54730: 28.1; vhdl-update-sensitivity-list not working in Windows Date: Tue, 5 Apr 2022 17:12:25 +0000 Message-ID: References: <83zgkzcwfq.fsf@gnu.org> Mime-Version: 1.0 Content-Type: multipart/alternative; boundary="_000_AM5PR06MB3105EE2E20150E7AC1C1099AE3E49AM5PR06MB3105eurp_" Injection-Info: ciao.gmane.io; posting-host="blaine.gmane.org:116.202.254.214"; logging-data="4386"; mail-complaints-to="usenet@ciao.gmane.io" Cc: "54730@debbugs.gnu.org" <54730@debbugs.gnu.org> To: Eli Zaretskii Original-X-From: bug-gnu-emacs-bounces+geb-bug-gnu-emacs=m.gmane-mx.org@gnu.org Tue Apr 05 19:25:53 2022 Return-path: Envelope-to: geb-bug-gnu-emacs@m.gmane-mx.org Original-Received: from lists.gnu.org ([209.51.188.17]) by ciao.gmane.io with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.92) (envelope-from ) id 1nbmwC-0000yL-W0 for geb-bug-gnu-emacs@m.gmane-mx.org; Tue, 05 Apr 2022 19:25:53 +0200 Original-Received: from localhost ([::1]:59602 helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1nbmwB-0005fd-OD for geb-bug-gnu-emacs@m.gmane-mx.org; Tue, 05 Apr 2022 13:25:51 -0400 Original-Received: from eggs.gnu.org ([2001:470:142:3::10]:51074) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1nbmvS-0005fN-IM for bug-gnu-emacs@gnu.org; Tue, 05 Apr 2022 13:25:06 -0400 Original-Received: from debbugs.gnu.org ([209.51.188.43]:33907) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1nbmvP-0001NL-85 for bug-gnu-emacs@gnu.org; Tue, 05 Apr 2022 13:25:04 -0400 Original-Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1nbmvP-0001dP-3y for bug-gnu-emacs@gnu.org; Tue, 05 Apr 2022 13:25:03 -0400 X-Loop: help-debbugs@gnu.org Resent-From: Cyril Arnould Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Tue, 05 Apr 2022 17:25:03 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 54730 X-GNU-PR-Package: emacs Original-Received: via spool by 54730-submit@debbugs.gnu.org id=B54730.16491794906253 (code B ref 54730); Tue, 05 Apr 2022 17:25:03 +0000 Original-Received: (at 54730) by debbugs.gnu.org; 5 Apr 2022 17:24:50 +0000 Original-Received: from localhost ([127.0.0.1]:56036 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1nbmvC-0001cg-0k for submit@debbugs.gnu.org; Tue, 05 Apr 2022 13:24:50 -0400 Original-Received: from mail-db8eur05olkn2025.outbound.protection.outlook.com ([40.92.89.25]:32929 helo=EUR05-DB8-obe.outbound.protection.outlook.com) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1nbmjI-0001GT-Rb for 54730@debbugs.gnu.org; Tue, 05 Apr 2022 13:12:33 -0400 ARC-Seal: i=1; a=rsa-sha256; s=arcselector9901; d=microsoft.com; cv=none; b=lxfkCMB7zUunF1yFAS/iOO2YoIZFoW7r5o8hBguN35mkfEomXlPHpTrzHl6dHxYDhWcBqMVpGs265CWvOr80wNWCC/RkpUItTCeeIWdJF//daYKj5nP41a0MEENEO5UIGJSVaELLNYE8DHnN6zHjEyUDVGVJssePKeiy0/HXn9xVrNPP0Xlv/mIcedgrZBOKwsYAZy7E1jCwvaKprZQWVLEZyKqvGbd3Dq4pCkW5ZBwGqCSpZHx0GxiM7VISmHFHf/Ym7cjg2TUtSXklr7kzq8LwYsV3p6styHIETSv9DK7TDEMyA2+bjL99AtBwNJCbS9RWQSKjIF9/f1EUWAvwJQ== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=microsoft.com; s=arcselector9901; h=From:Date:Subject:Message-ID:Content-Type:MIME-Version:X-MS-Exchange-AntiSpam-MessageData-ChunkCount:X-MS-Exchange-AntiSpam-MessageData-0:X-MS-Exchange-AntiSpam-MessageData-1; bh=aHUniKjQ1gqf74qjsp25GUDSlnahPUnslqFo0VDIvqw=; b=IcJckHAEyq6EVHmmBwE6l3mwcjUXT6rYm2Z4ZSrcs6nUz30kHLgmOdAQKPDXQJpcuWuJEK2aFFSXd5kyPDCQlNh85iyk+1pY0TVGpziYgkasCGVmbivvOx2+rT0NjpaOYHpbppyDEI6HK4CzxaxIOyyp8CPpl8WUppXB9D84N6a9BH9dtNj8BTA4kADC7t8YMhfhlwm3GbnDOTxETtZgQQM+p2hfbcRgApU9Am/H1yKXoKVngKQ/u9j8veNz0ZKwM7kYEP+JZS1LVaEq1As/2iEHV+0iCrNorZL4mNWD7svQrwA9w2WXrRpKZXD9PrINE/aElI7gsRcZz+cFBWZxlw== ARC-Authentication-Results: i=1; mx.microsoft.com 1; spf=none; dmarc=none; dkim=none; arc=none DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=outlook.com; s=selector1; h=From:Date:Subject:Message-ID:Content-Type:MIME-Version:X-MS-Exchange-SenderADCheck; bh=aHUniKjQ1gqf74qjsp25GUDSlnahPUnslqFo0VDIvqw=; b=Xioa0YzVIuTBQkM7Zinr6Avuqo42HBgyeqpmbILusNGUsdE1uzRBbEI7E2wGZzFtrqdv3NJqG7nCpYc/S0vgpnGHZGnZoC4gDqDPXan/NkRCIo4U7B5ENduhmV79bDNSup3rnkVhPt6aBtGdzC8xWP9KzvSIfu7Pa0iWZ1iBgKXhBAaSiGqoPhuJFEQ/xnO0WvuwbwGm6wdr+8U/NQnvnVMXaahenB76jDrRkrC/7RioEcBcRZuGYYrzyqhIbirqlftiDNMFt5E1VepbtKQJz0oa0RiZpPDy+2z7M9i7YqlO8zMLvlDD1Nu8e8msR2F/EOPr4opxhLbwbs2+X5phfA== Original-Received: from AM5PR06MB3105.eurprd06.prod.outlook.com (2603:10a6:206:10::11) by VI1PR06MB3919.eurprd06.prod.outlook.com (2603:10a6:802:69::28) with Microsoft SMTP Server (version=TLS1_2, cipher=TLS_ECDHE_RSA_WITH_AES_256_GCM_SHA384) id 15.20.5123.30; Tue, 5 Apr 2022 17:12:26 +0000 Original-Received: from AM5PR06MB3105.eurprd06.prod.outlook.com ([fe80::b592:ae0f:e3c0:57b]) by AM5PR06MB3105.eurprd06.prod.outlook.com ([fe80::b592:ae0f:e3c0:57b%2]) with mapi id 15.20.5123.030; Tue, 5 Apr 2022 17:12:25 +0000 Thread-Topic: bug#54730: 28.1; vhdl-update-sensitivity-list not working in Windows Thread-Index: AQHYSQhkeYcjaTMdIU+tv6MnK8V/Tazhhpn6gAAES6iAAAKgXQ== In-Reply-To: Accept-Language: de-CH, en-US Content-Language: de-CH x-ms-exchange-messagesentrepresentingtype: 1 x-tmn: [xDMKzWp/k4FrFMrIXLFpsGw3i+c6XrIuWSFqFoUVJVOEUJSN3nplzbTsf1xf1mdiHMZzPcKhoiY=] x-ms-publictraffictype: Email x-ms-office365-filtering-correlation-id: 0b7de65a-fa46-4548-5a0e-08da172774f3 x-ms-traffictypediagnostic: VI1PR06MB3919:EE_ x-microsoft-antispam: BCL:0; x-microsoft-antispam-message-info: Na1ZPx8aGTe/YkNRQa3NwK9bMNSyzNMyvPVR5Fc3Qj6IkupAO8y99hOHPQDH2UPQm/suoLU1Y0lQ2ItGiGe2psHDE59g1A35iumP14FOuV4DvlL1X9lfcrnsU/xXK0CfZZMStcFkd0O7cjADMtX93ntPhhvYR1HHbpaNmn4uvAJk6HA+WmSfKgbJ6jibG+EgVlpLyK4iVwyDlLsaLbng25hr2gb/WJJ+7CFhzhmF2dEEqJEw6rqqMnZ986PrZG/7LE14aI2wL2QJamg5+U6K8hWGJJz1BpBMgJAXwUB0TAsNCIYFpbLIDdxWM6pifZDOWRDWoqfj6FX8zxcapMbV0kpTx8Qun7hnePvrRyWCcuCWJgkY5lqqoekBqEueOafQQJXYSgnvMmNZJYY5QYjWWwdT5xVPCx1+pM2H8daGO2RkJIEmJLyPO3CmrCOfcfdwxHBFKDwSEzE4DEhWoTOGGBlCe1qsfmryjniMxQUWKlS4RI2qXqIzPsLgCQD3DGY8149+vGy6xEUGfc/pBJXUvGnNhSh5JZYjeTflWnE4axy3RdA6EUyPdGaGYtYvKwICxIQkxjH8X3tZWMqXkIN1nA== x-ms-exchange-antispam-messagedata-chunkcount: 1 x-ms-exchange-antispam-messagedata-0: YmO0j3IHfoJoVQGikcS8K4D1ns4144gXsK4VhZttCS3Fp4ha5vy/laT3WVVceMiN6Mfyi+kJk58+EyXJONwSX84GtyyPleIMrfVY25/W4Patxxl4UC11vBx/o4xY2xspaX1E3WqYu5MOzV8t3mvhuS4QllrtNWRIqp9JKIl3pLYf3J3jl8XuzIuijCjbs6UPJHWgL3goC051VIgjsr6gjj4oS4iwAOBw6q/Kdynylb77phbbrsarMlNh6eD2GBoeeuoTCrP7UMjm3cw7yR/yKg78IwYVITUDaYWzZ9PC4N24heSVaBDlwX5sRrse9upYIb+zd37jssiMU/5ymwI0NrXYDqeV9V0eTqF5gtU1MFDTOT7taTIEJs2SzRmXu3Mo4Q8QhOLPMLzMaIpvJhFOumlT+G5hf+aBP/bfLmQ7FWsI6TNWyXN4sA+q8oASjxe0WGMkHaWvmwRscmi0bK6Y0b3NCIvKtYO5F+s+PKswjT+Fc5BXh8l0WiWVJipV/QBneZvVHI81Kj/bM4axBQlwBQo1/b2jh49ji47GGer4wPJ6gYu8OYz0kUxFXFsxjq3fAFPcvYtmBsCYbsnEpr4RHgVoxw/pLgLWeVUgqxUtfCKzz2/wdd7jjW6jXZDmKUIBiaq0bCOyC7SAsJppuDPpwiaeUaW9KgJkiDzz52Mwjn7fRtYEN/7z+UQaVfGGF+IiPQ/JVf6mGGtyk+iTaVgA/bmQcmD8AnSnP3/pnWOewhztSpexmpKfiNHpCQ z+36IpHZjIVYsSacWeHy9WO1rVi5zCou8cHreR/XMA69nd83JX9m01PFcMq8Q7dhq0MUf3/xAVacfMTeAKg1I7ieGjHLVcAQPj X-OriginatorOrg: outlook.com X-MS-Exchange-CrossTenant-AuthAs: Internal X-MS-Exchange-CrossTenant-AuthSource: AM5PR06MB3105.eurprd06.prod.outlook.com X-MS-Exchange-CrossTenant-RMS-PersistedConsumerOrg: 00000000-0000-0000-0000-000000000000 X-MS-Exchange-CrossTenant-Network-Message-Id: 0b7de65a-fa46-4548-5a0e-08da172774f3 X-MS-Exchange-CrossTenant-originalarrivaltime: 05 Apr 2022 17:12:25.8677 (UTC) X-MS-Exchange-CrossTenant-fromentityheader: Hosted X-MS-Exchange-CrossTenant-id: 84df9e7f-e9f6-40af-b435-aaaaaaaaaaaa X-MS-Exchange-CrossTenant-rms-persistedconsumerorg: 00000000-0000-0000-0000-000000000000 X-MS-Exchange-Transport-CrossTenantHeadersStamped: VI1PR06MB3919 X-Mailman-Approved-At: Tue, 05 Apr 2022 13:24:48 -0400 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: bug-gnu-emacs@gnu.org List-Id: "Bug reports for GNU Emacs, the Swiss army knife of text editors" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: bug-gnu-emacs-bounces+geb-bug-gnu-emacs=m.gmane-mx.org@gnu.org Original-Sender: "bug-gnu-emacs" Xref: news.gmane.io gmane.emacs.bugs:229449 Archived-At: --_000_AM5PR06MB3105EE2E20150E7AC1C1099AE3E49AM5PR06MB3105eurp_ Content-Type: text/plain; charset="Windows-1252" Content-Transfer-Encoding: quoted-printable Addendum: I=92ve specified =ABon Windows=BB because Emacs is associated mor= e with GNU/Linux operating systems and I didn=92t want any confusion. I don=92t have any GNU= /Linux OS installed, but I could try in a VM if that helps. Von: Cyril Arnould Gesendet: Dienstag, 5. April 2022 19:08 An: Eli Zaretskii Cc: 54730@debbugs.gnu.org Betreff: AW: bug#54730: 28.1; vhdl-update-sensitivity-list not working in W= indows I=92ve added an example file you can visit in the attachment. To reproduce,= simply put the cursor inside the process (M-g-g 30 RET) and execute vhdl-update-sensitivity-list-process (C-c C-u C-s). I=92m getting the follo= wing backtrace: Debugger entered--Lisp error: (void-variable proc-end) (vhdl-re-search-forward "[<:]=3D" proc-end t) eval((vhdl-re-search-forward "[<:]=3D" proc-end t)) vhdl-update-sensitivity-list() vhdl-update-sensitivity-list-process() funcall-interactively(vhdl-update-sensitivity-list-process) command-execute(vhdl-update-sensitivity-list-process) Thanks! Von: Eli Zaretskii Gesendet: Dienstag, 5. April 2022 18:43 An: Cyril Arnould Cc: 54730@debbugs.gnu.org Betreff: Re: bug#54730: 28.1; vhdl-update-sensitivity-list not working in W= indows > From: Cyril Arnould > Date: Tue, 5 Apr 2022 16:17:37 +0000 > > vhdl-update-sensitivity-list is not working anymore in Windows. You say "on Windows" because it works on other systems? > I have a very simple process: > > -- Flip Flops > p_memzing : process (Clk_CI, Rst_RBI) > begin > if Rst_RBI =3D '0' then -- asynchronous reset (active l= ow) > BlockCount_DP <=3D (others =3D> '0'); > Length_DP <=3D (others =3D> '0'); > elsif Clk_CI'event and Clk_CI =3D '1' then -- rising clock edge > BlockCount_DP <=3D BlockCount_DN; > Length_DP <=3D Length_DN; > end if; > end process p_memzing; > > If I execute vhdl-update-sensitivity-list-process, I get the error > message "Symbol=92s value as variable is void: proc-end". If I execute > vhdl-update-sensitivity-list-buffer instead, I get no error message but > the sensitivity list is not updated properly, e.g. if I delete Clk_CI > from the sensitivity list, it is not added back. Can you please provide a complete recipe, including any file(s) one has to visit etc.? I don't use VHDL, so I need very precise instructions to reproduce and debug the problem. I'm a bit surprised that you get that void-variable error, since I see proc-end being let-bound in vhdl-update-sensitivity-list. I'm probably missing something. Could you perhaps set debug-on-error non-nil, and post the Lisp backtrace from the error? Thanks. --_000_AM5PR06MB3105EE2E20150E7AC1C1099AE3E49AM5PR06MB3105eurp_ Content-Type: text/html; charset="Windows-1252" Content-Transfer-Encoding: quoted-printable

Addendum: I=92ve specified =ABon Windows=BB because = Emacs is associated more with GNU/Linux

operating systems and I didn=92t want any confusion.= I don=92t have any GNU/Linux OS installed,

but I could try in a VM if that helps.

 

 

I=92ve added an example file you can visit in the at= tachment. To reproduce, simply

put the cursor inside the process (M-g-g 30 RET) and= execute

vhdl-update-sensitivity-list-process (C-c C-u C-s). = I=92m getting the following backtrace:

 

Debugger entered--Lisp error: (void-variable proc-en= d)

  (vhdl-re-search-forward "[<:]=3D"= ; proc-end t)

  eval((vhdl-re-search-forward "[<:]=3D= " proc-end t))

  vhdl-update-sensitivity-list()

  vhdl-update-sensitivity-list-process()

  funcall-interactively(vhdl-update-sensitivity= -list-process)

  command-execute(vhdl-update-sensitivity-list-= process)

 

Thanks!

 

 

> From: Cyril Arnould <cyril.arnould@outlook.c= om>
> Date: Tue, 5 Apr 2022 16:17:37 +0000
>
> vhdl-update-sensitivity-list is not working anymore in Windows.

You say "on Windows" because it works on other systems?

> I have a very simple process:
>
>   -- Flip Flops
>   p_memzing : process (Clk_CI, Rst_RBI)
>   begin
>     if Rst_RBI =3D '0' then    = ;           -- asynchrono= us reset (active low)
>       BlockCount_DP <=3D (others =3D&= gt; '0');
>       Length_DP     = <=3D (others =3D> '0');
>     elsif Clk_CI'event and Clk_CI =3D '1' then&nbs= p; -- rising clock edge
>       BlockCount_DP <=3D BlockCount_D= N;
>       Length_DP     = <=3D Length_DN;
>     end if;
>   end process p_memzing;
>
> If I execute vhdl-update-sensitivity-list-process, I get the error
> message "Symbol=92s value as variable is void: proc-end". If= I execute
> vhdl-update-sensitivity-list-buffer instead, I get no error message bu= t
> the sensitivity list is not updated properly, e.g. if I delete Clk_CI<= br> > from the sensitivity list, it is not added back.

Can you please provide a complete recipe, including any file(s) one
has to visit etc.?  I don't use VHDL, so I need very precise
instructions to reproduce and debug the problem.  I'm a bit surprised<= br> that you get that void-variable error, since I see proc-end being
let-bound in vhdl-update-sensitivity-list.  I'm probably missing
something.  Could you perhaps set debug-on-error non-nil, and post the=
Lisp backtrace from the error?

Thanks.

 

 

--_000_AM5PR06MB3105EE2E20150E7AC1C1099AE3E49AM5PR06MB3105eurp_--