From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.io!.POSTED.blaine.gmane.org!not-for-mail From: Cyril Arnould Newsgroups: gmane.emacs.bugs Subject: bug#54730: AW: bug#54730: 28.1; vhdl-update-sensitivity-list not working in Windows Date: Tue, 5 Apr 2022 17:53:20 +0000 Message-ID: References: <83zgkzcwfq.fsf@gnu.org> <83y20jcugz.fsf@gnu.org> Mime-Version: 1.0 Content-Type: multipart/alternative; boundary="_000_AM5PR06MB3105A2A44512FB59DB2D61F9E3E49AM5PR06MB3105eurp_" Injection-Info: ciao.gmane.io; posting-host="blaine.gmane.org:116.202.254.214"; logging-data="11543"; mail-complaints-to="usenet@ciao.gmane.io" Cc: "54730@debbugs.gnu.org" <54730@debbugs.gnu.org> To: Eli Zaretskii Original-X-From: bug-gnu-emacs-bounces+geb-bug-gnu-emacs=m.gmane-mx.org@gnu.org Tue Apr 05 19:59:13 2022 Return-path: Envelope-to: geb-bug-gnu-emacs@m.gmane-mx.org Original-Received: from lists.gnu.org ([209.51.188.17]) by ciao.gmane.io with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.92) (envelope-from ) id 1nbnSS-0002na-KO for geb-bug-gnu-emacs@m.gmane-mx.org; Tue, 05 Apr 2022 19:59:12 +0200 Original-Received: from localhost ([::1]:45664 helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1nbnSR-0000ON-Ik for geb-bug-gnu-emacs@m.gmane-mx.org; Tue, 05 Apr 2022 13:59:11 -0400 Original-Received: from eggs.gnu.org ([2001:470:142:3::10]:59084) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1nbnSI-0000Np-Bh for bug-gnu-emacs@gnu.org; Tue, 05 Apr 2022 13:59:04 -0400 Original-Received: from debbugs.gnu.org ([209.51.188.43]:33954) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1nbnSI-00035B-2b for bug-gnu-emacs@gnu.org; Tue, 05 Apr 2022 13:59:02 -0400 Original-Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1nbnSH-0002fL-UJ for bug-gnu-emacs@gnu.org; Tue, 05 Apr 2022 13:59:01 -0400 X-Loop: help-debbugs@gnu.org Resent-From: Cyril Arnould Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Tue, 05 Apr 2022 17:59:01 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 54730 X-GNU-PR-Package: emacs Original-Received: via spool by 54730-submit@debbugs.gnu.org id=B54730.164918150310188 (code B ref 54730); Tue, 05 Apr 2022 17:59:01 +0000 Original-Received: (at 54730) by debbugs.gnu.org; 5 Apr 2022 17:58:23 +0000 Original-Received: from localhost ([127.0.0.1]:56084 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1nbnRf-0002eG-0X for submit@debbugs.gnu.org; Tue, 05 Apr 2022 13:58:23 -0400 Original-Received: from mail-am6eur05olkn2030.outbound.protection.outlook.com ([40.92.91.30]:14144 helo=EUR05-AM6-obe.outbound.protection.outlook.com) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1nbnMu-0002V8-8e for 54730@debbugs.gnu.org; Tue, 05 Apr 2022 13:53:29 -0400 ARC-Seal: i=1; a=rsa-sha256; s=arcselector9901; d=microsoft.com; cv=none; b=aNgBAGorDJDxUX4TMFs05MKoBI01dY59U2b+6nAs3ZuYM+0eVhuSZypxNEhsDYi2wA85XRc0ClA+HzwyVvq/NOy6iKn2LLei59xtVpsGDNhdyYqIIYai+XGB+Xwxc3n/WDRE8s9ZqLicgMSu5trS/J+Vkuyr6m0ML3fx+En54gwNczCpNxbwUyaroo04BSSSRv/S3k8jqXq+cQP15GRrLwmCf0qouSYI6eL9zLQyEN/clraScMJ3pdaX4v4rHM75R0Xs2xToFQj/jyOr13d1ugfzgDGstO5mpNuswtsCZfeFYfs76f/WnF/CM/GiP5jLDdB+S4L1xodFHSXd0aD2XA== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=microsoft.com; s=arcselector9901; h=From:Date:Subject:Message-ID:Content-Type:MIME-Version:X-MS-Exchange-AntiSpam-MessageData-ChunkCount:X-MS-Exchange-AntiSpam-MessageData-0:X-MS-Exchange-AntiSpam-MessageData-1; bh=9dw4Zg14vcEVbdXUo1XZpx8QwRBJXyyOUSSuQiAx1TE=; b=id0PYafZaXZhus0RLC8uwlFaIDDwppKwI/zwauTioRGLGKNwfT8d63ZRGTmLNPXkM4XS4aqQjuP8IgmaMCvdHzH4MctqE4WVh9A6asaaQWbAk1bwl3yS9OiqtX0ijLL5IYpVncVzQOdCfEDTAWxJnGL/hCpClz6Bp29we4Xh1Lxg+xGcXDVD4TSBScCHxW1OGTrUaj2r+oRUlUVh+/3erbshTiy/jREogBiHeGLTUdqCM58RgRt2SO24cI8/MGrCBhM0sxULlx28a6Z1wThMdDR2yzD7KciFTFKOP+AUyUdVDWA+Vk/XnOdQl6XR0M05dygQihdNbzwIL7+d8Ogbvw== ARC-Authentication-Results: i=1; mx.microsoft.com 1; spf=none; dmarc=none; dkim=none; arc=none DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=outlook.com; s=selector1; h=From:Date:Subject:Message-ID:Content-Type:MIME-Version:X-MS-Exchange-SenderADCheck; bh=9dw4Zg14vcEVbdXUo1XZpx8QwRBJXyyOUSSuQiAx1TE=; b=d4IVkfynO9pHqg29/e3xLCrrcydP74+MM9fteTNwxtFZNYZQi/HyHn7ITFuFkGr3DuWO5rORtO1udCz6Eb+oNDlQBHYs+PJzoVrgOFbJ2gP5ZkRCKzAITuVZ9z5gQbD52Vf3og3JBsp85umx1uCCvmeefKKHpcaSfsWlwB7z7zLI5sElWXUF/GF+hm9QnCB7lUBRQpI5rE2esgIBv5iFaMvk3r9OkkaNxKHVC+7+KUHvdMKOg3KVntk2WZTCSeSxcISYRfgQJuDKdTrpSHDXIddnnJv5xKmzLVAxGWvnhJj/hWYodobZwoe1arjNltDPDFcfddF+k7F8CndsrmxIjg== Original-Received: from AM5PR06MB3105.eurprd06.prod.outlook.com (2603:10a6:206:10::11) by VI1PR06MB4207.eurprd06.prod.outlook.com (2603:10a6:803:71::31) with Microsoft SMTP Server (version=TLS1_2, cipher=TLS_ECDHE_RSA_WITH_AES_256_GCM_SHA384) id 15.20.5123.31; Tue, 5 Apr 2022 17:53:20 +0000 Original-Received: from AM5PR06MB3105.eurprd06.prod.outlook.com ([fe80::b592:ae0f:e3c0:57b]) by AM5PR06MB3105.eurprd06.prod.outlook.com ([fe80::b592:ae0f:e3c0:57b%2]) with mapi id 15.20.5123.030; Tue, 5 Apr 2022 17:53:20 +0000 Thread-Topic: bug#54730: 28.1; vhdl-update-sensitivity-list not working in Windows Thread-Index: AQHYSQhkeYcjaTMdIU+tv6MnK8V/Tazhhpn6gAAES6iAAAeS9oAAA2PH In-Reply-To: <83y20jcugz.fsf@gnu.org> Accept-Language: de-CH, en-US Content-Language: de-CH x-ms-exchange-messagesentrepresentingtype: 1 x-tmn: [SSL8F0JgHr8x4PfYKiBFVWeukSxFujpp8+tLx6sz6dnUGNrekZp/VsomJLZ+UV01Ux5UVDQ3XHk=] x-ms-publictraffictype: Email x-ms-office365-filtering-correlation-id: b3b43edc-b983-4ee2-f589-08da172d2c32 x-ms-traffictypediagnostic: VI1PR06MB4207:EE_ x-microsoft-antispam: BCL:0; x-microsoft-antispam-message-info: Gs5sG0Wf2+O6tdodVj7wWIiZddgyjG4J8rDkQbxlTModKWFMLC2EQOilemcmW8jU0E41EZ+FEmJEFEx50b5/QLFqKKRb0sKPGqM3kVR/mbxK99BPbMTwDPG8qb+8jIdV8WFHG0uZzTwTnyuHSDOT5nqzGfgdqLf9FBbcjDcstoBYLBvkj8MtIFVeuCyS8POMCF9yTeaO89zYFSPiGKzISvTwXMYN6j2ZQEEVK3EQqoA5pV2lA9caaeB9Hxnx4QWRi5IhxMKMTUptkdugJ9aq9U9DWHSQuXWGuogr3cvds/4KdIO9MSj1wDrtIoP2oynxJUw5r16ZRfVeXxBracE6dasRsrV7RYiBGoBepuF8FVc40JECqapClpe6zQdKxLM9RHH3YzhM8uxvNIfzY9PTt+pgx0qKXVUwwrw/Rkjovo66plEOakLF1qYjes0hZzXk4WzUfP/Wd0Sj531HqlwVIRh5NPdgQvDLcu8M6ozZNvNvPaIz/JIDjY5qtTE31OpPzsnRgEU2dnaDZFMa9exIpHr0vMOdqDSepwoRAOtXZPAHezW8/Z3yDwPLF8ysxNBaCvvbBwFG4KmtkKcqduJrhQ== x-ms-exchange-antispam-messagedata-chunkcount: 1 x-ms-exchange-antispam-messagedata-0: Nooz5VYpawUZAWrc6/0Qf5nnYw65WNISyxC8X4A6vlYB8X/3+PfpNf8BfTXDyXECuXDo4Sc92m/7aat/vvXTtfjE5Ym5fdp3tY0V2YE6ND/yZBVTyoc5gLa6yEKeWoengHIm3rZgxefBIMLVa+EsvoqNcj2DXG6n+52x1I4LAxPmviOSPO8xw00qwCcEIAcRPYxriWsSxjDdadjCJPxWlR8fiLgjT+fon9MHFfRPymHC2ps9v2GTyoNcEsmuW0EVofSgJWKQhL05dlDBTcnsQ/5GhllisSHgXNoANJPgVV28vztq9zurd0YrDlgo2hyLQeS89V+LIdPc+p7J8V2e27BFN/l0oTWj+Hyj7qsyx2iav1RW487K30B4kytAGlh+Ehw9/THm0iXluPc3cK7I7pODNxKLbDhr9zEsPDOR+kXWYMhBgUPakiMzLTimkqFq5z/NflYm0BsJIfsp++irgKcTToxXzwK5KzY41p7moPPfrqKXiVVLHYzlDjjEl++0iQOOa+1zpGmLAOz6LFlz3nnNGQZ/PkIVt5z9/VjFYWcVgYnov0m1XhFno+T0aUcaHrAiYC45M/6seow1sx7uY1ARh3O2QJ2XbQJNu7NV/UWUO3w3PIehIOF0xzDgTYZxT8l+IPNCSaWc1YayDJ4wDaX1B7hkkRqWYVe2RB3jSF/QQAPoGYm2MeOBd7OeLBzjACHkzJgNo82YRNo47B8bPoO0yx8CxvtPFHt0UZYl8DEniOs5ukt2wC3XdP ddQuJ0FZGvHzeN1qbghHSgtnCIoqLtQctx1WrDTKgFCMtLVvZRnsysrg/b5jNwhC09AUUx+u15WKM/4ArO04LurFcOkMHHT+OB X-OriginatorOrg: outlook.com X-MS-Exchange-CrossTenant-AuthAs: Internal X-MS-Exchange-CrossTenant-AuthSource: AM5PR06MB3105.eurprd06.prod.outlook.com X-MS-Exchange-CrossTenant-RMS-PersistedConsumerOrg: 00000000-0000-0000-0000-000000000000 X-MS-Exchange-CrossTenant-Network-Message-Id: b3b43edc-b983-4ee2-f589-08da172d2c32 X-MS-Exchange-CrossTenant-originalarrivaltime: 05 Apr 2022 17:53:20.7913 (UTC) X-MS-Exchange-CrossTenant-fromentityheader: Hosted X-MS-Exchange-CrossTenant-id: 84df9e7f-e9f6-40af-b435-aaaaaaaaaaaa X-MS-Exchange-CrossTenant-rms-persistedconsumerorg: 00000000-0000-0000-0000-000000000000 X-MS-Exchange-Transport-CrossTenantHeadersStamped: VI1PR06MB4207 X-Mailman-Approved-At: Tue, 05 Apr 2022 13:58:22 -0400 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: bug-gnu-emacs@gnu.org List-Id: "Bug reports for GNU Emacs, the Swiss army knife of text editors" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: bug-gnu-emacs-bounces+geb-bug-gnu-emacs=m.gmane-mx.org@gnu.org Original-Sender: "bug-gnu-emacs" Xref: news.gmane.io gmane.emacs.bugs:229456 Archived-At: --_000_AM5PR06MB3105A2A44512FB59DB2D61F9E3E49AM5PR06MB3105eurp_ Content-Type: text/plain; charset="Windows-1252" Content-Transfer-Encoding: quoted-printable Nice, it=92s working! Too bad this didn=92t make it into the 28.1 release, = I guess I should have tested more. So for now the solution is to keep the vhdl-mode.el locally un= til it finds its way into a maintenance release? FYI, the sensitivity list of the VHDL process is what=92s enclosed in paren= theses, so in this case it=92s =AB(Clk_CI, Rst_RBI)=BB. If you modify the sensitivity list or = delete it entirely and execute vhdl-update-sensitivity-list, it should return back to the way it w= as. The BlockCount_DN and Length_DN signals on the other hand are *not* part of the= sensitivity list because the process only needs to be updated at the Clk_CI=92event (or= any Rst_RBI=92event). Von: Eli Zaretskii Gesendet: Dienstag, 5. April 2022 19:26 An: Cyril Arnould Cc: 54730@debbugs.gnu.org Betreff: Re: bug#54730: 28.1; vhdl-update-sensitivity-list not working in W= indows > From: Cyril Arnould > CC: "54730@debbugs.gnu.org" <54730@debbugs.gnu.org> > Date: Tue, 5 Apr 2022 17:08:28 +0000 > > I=92ve added an example file you can visit in the attachment. To reproduc= e, simply > put the cursor inside the process (M-g-g 30 RET) and execute > vhdl-update-sensitivity-list-process (C-c C-u C-s). I=92m getting the fol= lowing backtrace: > > Debugger entered--Lisp error: (void-variable proc-end) > (vhdl-re-search-forward "[<:]=3D" proc-end t) > eval((vhdl-re-search-forward "[<:]=3D" proc-end t)) > vhdl-update-sensitivity-list() > vhdl-update-sensitivity-list-process() > funcall-interactively(vhdl-update-sensitivity-list-process) > command-execute(vhdl-update-sensitivity-list-process) Thanks, I think I see the problem now. Does the below fix the problem? If not, can you tell me how to show the sensitivity list, and what should be the correct result of invoking vhdl-update-sensitivity-list-process in this case? diff --git a/lisp/progmodes/vhdl-mode.el b/lisp/progmodes/vhdl-mode.el index 64ebc14..e562a46 100644 --- a/lisp/progmodes/vhdl-mode.el +++ b/lisp/progmodes/vhdl-mode.el @@ -8396,30 +8396,30 @@ vhdl-update-sensitivity-list ((visible-list (vhdl-get-visible-signals)) ;; define syntactic regions where signals are read (scan-regions-list - '(;; right-hand side of signal/variable assignment + `(;; right-hand side of signal/variable assignment ;; (special case: "<=3D" is relational operator in a condi= tion) - ((vhdl-re-search-forward "[<:]=3D" proc-end t) - (vhdl-re-search-forward ";\\|\\<\\(then\\|loop\\|report\\|= severity\\|is\\)\\>" proc-end t)) + ((vhdl-re-search-forward "[<:]=3D" ,proc-end t) + (vhdl-re-search-forward ";\\|\\<\\(then\\|loop\\|report\\|= severity\\|is\\)\\>" ,proc-end t)) ;; if condition - ((vhdl-re-search-forward "^\\s-*if\\>" proc-end t) - (vhdl-re-search-forward "\\" proc-end t)) + ((vhdl-re-search-forward "^\\s-*if\\>" ,proc-end t) + (vhdl-re-search-forward "\\" ,proc-end t)) ;; elsif condition - ((vhdl-re-search-forward "\\" proc-end t) - (vhdl-re-search-forward "\\" proc-end t)) + ((vhdl-re-search-forward "\\" ,proc-end t) + (vhdl-re-search-forward "\\" ,proc-end t)) ;; while loop condition - ((vhdl-re-search-forward "^\\s-*while\\>" proc-end t) - (vhdl-re-search-forward "\\" proc-end t)) + ((vhdl-re-search-forward "^\\s-*while\\>" ,proc-end t) + (vhdl-re-search-forward "\\" ,proc-end t)) ;; exit/next condition - ((vhdl-re-search-forward "\\<\\(exit\\|next\\)\\s-+\\w+\\s-= +when\\>" proc-end t) - (vhdl-re-search-forward ";" proc-end t)) + ((vhdl-re-search-forward "\\<\\(exit\\|next\\)\\s-+\\w+\\s-= +when\\>" ,proc-end t) + (vhdl-re-search-forward ";" ,proc-end t)) ;; assert condition - ((vhdl-re-search-forward "\\" proc-end t) - (vhdl-re-search-forward "\\(\\\\|\\\= \|;\\)" proc-end t)) + ((vhdl-re-search-forward "\\" ,proc-end t) + (vhdl-re-search-forward "\\(\\\\|\\\= \|;\\)" ,proc-end t)) ;; case expression - ((vhdl-re-search-forward "^\\s-*case\\>" proc-end t) - (vhdl-re-search-forward "\\" proc-end t)) + ((vhdl-re-search-forward "^\\s-*case\\>" ,proc-end t) + (vhdl-re-search-forward "\\" ,proc-end t)) ;; parameter list of procedure call, array index - ((and (re-search-forward "^\\s-*\\(\\w\\|\\.\\)+[ \t\n\r\f]= *(" proc-end t) + ((and (re-search-forward "^\\s-*\\(\\w\\|\\.\\)+[ \t\n\r\f]= *(" ,proc-end t) (1- (point))) (progn (backward-char) (forward-sexp) (while (looking-at "(") (forward-sexp)) (point))))= ) --_000_AM5PR06MB3105A2A44512FB59DB2D61F9E3E49AM5PR06MB3105eurp_ Content-Type: text/html; charset="Windows-1252" Content-Transfer-Encoding: quoted-printable

Nice, it=92s working! Too bad this didn=92t make it = into the 28.1 release, I guess I should have

tested more. So for now the solution is to keep the = vhdl-mode.el locally until it finds its

way into a maintenance release?

 

FYI, the sensitivity list of the VHDL process is wha= t=92s enclosed in parentheses, so in this

case it=92s =AB(Clk_CI, Rst_RBI)=BB. If you modify t= he sensitivity list or delete it entirely and

execute vhdl-update-sensitivity-list, it should retu= rn back to the way it was. The

BlockCount_DN and Length_DN signals on the other han= d are *not* part of the sensitivity

list because the process only needs to be updated at= the Clk_CI=92event (or any Rst_RBI=92event).

 

 

 

> From: Cyril Arnould <cyril.arnould@outlook.c= om>
> CC: "54730@debbugs.gnu.org" <54730@debbugs.gnu.org> > Date: Tue, 5 Apr 2022 17:08:28 +0000
>
> I=92ve added an example file you can visit in the attachment. To repro= duce, simply
> put the cursor inside the process (M-g-g 30 RET) and execute
> vhdl-update-sensitivity-list-process (C-c C-u C-s). I=92m getting the = following backtrace:
>
> Debugger entered--Lisp error: (void-variable proc-end)
>   (vhdl-re-search-forward "[<:]=3D" proc-end t)=
>   eval((vhdl-re-search-forward "[<:]=3D" proc-e= nd t))
>   vhdl-update-sensitivity-list()
>   vhdl-update-sensitivity-list-process()
>   funcall-interactively(vhdl-update-sensitivity-list-process= )
>   command-execute(vhdl-update-sensitivity-list-process)

Thanks, I think I see the problem now.  Does the below fix the
problem?  If not, can you tell me how to show the sensitivity list, and what should be the correct result of invoking
vhdl-update-sensitivity-list-process in this case?

diff --git a/lisp/progmodes/vhdl-mode.el b/lisp/progmodes/vhdl-mode.el
index 64ebc14..e562a46 100644
--- a/lisp/progmodes/vhdl-mode.el
+++ b/lisp/progmodes/vhdl-mode.el
@@ -8396,30 +8396,30 @@ vhdl-update-sensitivity-list
             ((= visible-list (vhdl-get-visible-signals))
            &nb= sp; ;; define syntactic regions where signals are read
            &nb= sp; (scan-regions-list
-             '= (;; right-hand side of signal/variable assignment
+             `= (;; right-hand side of signal/variable assignment
            &nb= sp;    ;; (special case: "<=3D" is relational o= perator in a condition)
-            &n= bsp;  ((vhdl-re-search-forward "[<:]=3D" proc-end t)
-            &n= bsp;   (vhdl-re-search-forward ";\\|\\<\\(then\\|loop\\|r= eport\\|severity\\|is\\)\\>" proc-end t))
+            &n= bsp;  ((vhdl-re-search-forward "[<:]=3D" ,proc-end t)
+            &n= bsp;   (vhdl-re-search-forward ";\\|\\<\\(then\\|loop\\|r= eport\\|severity\\|is\\)\\>" ,proc-end t))
            &nb= sp;    ;; if condition
-            &n= bsp;  ((vhdl-re-search-forward "^\\s-*if\\>" proc-end t)<= br> -            &n= bsp;   (vhdl-re-search-forward "\\<then\\>" proc-= end t))
+            &n= bsp;  ((vhdl-re-search-forward "^\\s-*if\\>" ,proc-end t)=
+            &n= bsp;   (vhdl-re-search-forward "\\<then\\>" ,proc= -end t))
            &nb= sp;    ;; elsif condition
-            &n= bsp;  ((vhdl-re-search-forward "\\<elsif\\>" proc-end = t)
-            &n= bsp;   (vhdl-re-search-forward "\\<then\\>" proc-= end t))
+            &n= bsp;  ((vhdl-re-search-forward "\\<elsif\\>" ,proc-end= t)
+            &n= bsp;   (vhdl-re-search-forward "\\<then\\>" ,proc= -end t))
            &nb= sp;    ;; while loop condition
-            &n= bsp;  ((vhdl-re-search-forward "^\\s-*while\\>" proc-end = t)
-            &n= bsp;   (vhdl-re-search-forward "\\<loop\\>" proc-= end t))
+            &n= bsp;  ((vhdl-re-search-forward "^\\s-*while\\>" ,proc-end= t)
+            &n= bsp;   (vhdl-re-search-forward "\\<loop\\>" ,proc= -end t))
            &nb= sp;    ;; exit/next condition
-            &n= bsp;  ((vhdl-re-search-forward "\\<\\(exit\\|next\\)\\s-+\\w+\= \s-+when\\>" proc-end t)
-            &n= bsp;   (vhdl-re-search-forward ";" proc-end t))
+            &n= bsp;  ((vhdl-re-search-forward "\\<\\(exit\\|next\\)\\s-+\\w+\= \s-+when\\>" ,proc-end t)
+            &n= bsp;   (vhdl-re-search-forward ";" ,proc-end t))
            &nb= sp;    ;; assert condition
-            &n= bsp;  ((vhdl-re-search-forward "\\<assert\\>" proc-end= t)
-            &n= bsp;   (vhdl-re-search-forward "\\(\\<report\\>\\|\\&l= t;severity\\>\\|;\\)" proc-end t))
+            &n= bsp;  ((vhdl-re-search-forward "\\<assert\\>" ,proc-en= d t)
+            &n= bsp;   (vhdl-re-search-forward "\\(\\<report\\>\\|\\&l= t;severity\\>\\|;\\)" ,proc-end t))
            &nb= sp;    ;; case expression
-            &n= bsp;  ((vhdl-re-search-forward "^\\s-*case\\>" proc-end t= )
-            &n= bsp;   (vhdl-re-search-forward "\\<is\\>" proc-en= d t))
+            &n= bsp;  ((vhdl-re-search-forward "^\\s-*case\\>" ,proc-end = t)
+            &n= bsp;   (vhdl-re-search-forward "\\<is\\>" ,proc-e= nd t))
            &nb= sp;    ;; parameter list of procedure call, array index
-            &n= bsp;  ((and (re-search-forward "^\\s-*\\(\\w\\|\\.\\)+[ \t\n\r\f]= *(" proc-end t)
+            &n= bsp;  ((and (re-search-forward "^\\s-*\\(\\w\\|\\.\\)+[ \t\n\r\f]= *(" ,proc-end t)
            &nb= sp;          (1- (point)))
            &nb= sp;     (progn (backward-char) (forward-sexp)
            &nb= sp;            (whil= e (looking-at "(") (forward-sexp)) (point)))))

 

--_000_AM5PR06MB3105A2A44512FB59DB2D61F9E3E49AM5PR06MB3105eurp_--