From mboxrd@z Thu Jan 1 00:00:00 1970 Path: news.gmane.org!not-for-mail From: Chitlesh GOORAH Newsgroups: gmane.emacs.bugs Subject: bug#5136: Request to update vhdl-mode and verilog-mode Date: Tue, 8 Dec 2009 18:51:15 +0100 Message-ID: <50baabb30912080951s22e61579o76fb5e31f29f632@mail.gmail.com> References: <50baabb30912061201l30cde8daua09bcefd7e5e3023@mail.gmail.com> <200912070756.nB77uOTF006336@godzilla.ics.uci.edu> <50baabb30912070050l6f1742e2s3addaa0c5643649e@mail.gmail.com> <4B1E80DA.3080200@gnu.org> Reply-To: Chitlesh GOORAH , 5136@emacsbugs.donarmstrong.com NNTP-Posting-Host: lo.gmane.org Mime-Version: 1.0 Content-Type: text/plain; charset=ISO-8859-1 Content-Transfer-Encoding: quoted-printable X-Trace: ger.gmane.org 1260298864 6524 80.91.229.12 (8 Dec 2009 19:01:04 GMT) X-Complaints-To: usenet@ger.gmane.org NNTP-Posting-Date: Tue, 8 Dec 2009 19:01:04 +0000 (UTC) Cc: Shakthi Kannan , Dan Nicolaescu , "5136@emacsbugs.donarmstrong.com" <5136@emacsbugs.donarmstrong.com>, "sagarun@gmail.com" To: Reto Zimmermann Original-X-From: bug-gnu-emacs-bounces+geb-bug-gnu-emacs=m.gmane.org@gnu.org Tue Dec 08 20:00:54 2009 Return-path: Envelope-to: geb-bug-gnu-emacs@m.gmane.org Original-Received: from lists.gnu.org ([199.232.76.165]) by lo.gmane.org with esmtp (Exim 4.50) id 1NI5Ip-0001YC-Rh for geb-bug-gnu-emacs@m.gmane.org; Tue, 08 Dec 2009 20:00:52 +0100 Original-Received: from localhost ([127.0.0.1]:57075 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1NI5Ip-0004PS-OW for geb-bug-gnu-emacs@m.gmane.org; Tue, 08 Dec 2009 14:00:51 -0500 Original-Received: from mailman by lists.gnu.org with tmda-scanned (Exim 4.43) id 1NI4TH-0000hc-NC for bug-gnu-emacs@gnu.org; Tue, 08 Dec 2009 13:07:35 -0500 Original-Received: from exim by lists.gnu.org with spam-scanned (Exim 4.43) id 1NI4TB-0000ad-Ho for bug-gnu-emacs@gnu.org; Tue, 08 Dec 2009 13:07:33 -0500 Original-Received: from [199.232.76.173] (port=56186 helo=monty-python.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1NI4TB-0000a0-BR for bug-gnu-emacs@gnu.org; Tue, 08 Dec 2009 13:07:29 -0500 Original-Received: from rzlab.ucr.edu ([138.23.92.77]:47719) by monty-python.gnu.org with esmtps (TLS-1.0:DHE_RSA_AES_256_CBC_SHA1:32) (Exim 4.60) (envelope-from ) id 1NI4TA-0006l8-UL for bug-gnu-emacs@gnu.org; Tue, 08 Dec 2009 13:07:29 -0500 Original-Received: from rzlab.ucr.edu (rzlab.ucr.edu [127.0.0.1]) by rzlab.ucr.edu (8.14.3/8.14.3/Debian-5) with ESMTP id nB8I7PfG016872; Tue, 8 Dec 2009 10:07:26 -0800 Original-Received: (from debbugs@localhost) by rzlab.ucr.edu (8.14.3/8.14.3/Submit) id nB8Ht507014874; Tue, 8 Dec 2009 09:55:05 -0800 Resent-Date: Tue, 8 Dec 2009 09:55:05 -0800 X-Loop: owner@emacsbugs.donarmstrong.com Resent-From: Chitlesh GOORAH Resent-To: bug-submit-list@donarmstrong.com Resent-CC: Emacs Bugs 2Resent-Date: Tue, 08 Dec 2009 17:55:05 +0000 Resent-Message-ID: Resent-Sender: owner@emacsbugs.donarmstrong.com X-Emacs-PR-Message: followup 5136 X-Emacs-PR-Package: emacs X-Emacs-PR-Keywords: Original-Received: via spool by 5136-submit@emacsbugs.donarmstrong.com id=B5136.126029467914605 (code B ref 5136); Tue, 08 Dec 2009 17:55:05 +0000 Original-Received: (at 5136) by emacsbugs.donarmstrong.com; 8 Dec 2009 17:51:19 +0000 X-Spam-Bayes: score:0.5 Bayes not run. spammytokens:Tokens not available. hammytokens:Tokens not available. Original-Received: from fg-out-1718.google.com (fg-out-1718.google.com [72.14.220.153]) by rzlab.ucr.edu (8.14.3/8.14.3/Debian-5) with ESMTP id nB8HpGoN014602 for <5136@emacsbugs.donarmstrong.com>; Tue, 8 Dec 2009 09:51:18 -0800 Original-Received: by fg-out-1718.google.com with SMTP id 19so1181396fgg.13 for <5136@emacsbugs.donarmstrong.com>; Tue, 08 Dec 2009 09:51:16 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=gmail.com; s=gamma; h=domainkey-signature:mime-version:received:in-reply-to:references :date:message-id:subject:from:to:cc:content-type :content-transfer-encoding; bh=x/T3xnk/xvltnSyA2KB4O/HU2f4nxE+euIXLFKVy1vE=; b=VXSVCBxU8vupP2LX/II7+dycDN+9I7BQqI6Fa9tXRWS8j/cDO2KS/MMy5oxtP0KgP2 /gs8QpET91IjVbGWcyWg+DvwR1L/AMMcHfiCkk+5EWO9Ivug/OAnbsVxgtjS4b5v4LTv L893DABx2RJmXKeXrchGG0WmINgMR5uYF2pcw= DomainKey-Signature: a=rsa-sha1; c=nofws; d=gmail.com; s=gamma; h=mime-version:in-reply-to:references:date:message-id:subject:from:to :cc:content-type:content-transfer-encoding; b=Ns+KFoqOVSwhNTSlbYNmIAJgoAXOHIwpt9DMsNgRT/ZXXYEnn+APafaB/P9HGYwKde AmGiGRd7ZFAIJJ8qmyYspkEAwmtQU7zyEiQW2TNIeDLcx4oR7ljRfi48nJigRw7YEPpI riBvRcxH+GInXqzrVUTpF/j+5pZLt12OkcsoQ= Original-Received: by 10.239.168.163 with SMTP id k35mr862657hbe.71.1260294675910; Tue, 08 Dec 2009 09:51:15 -0800 (PST) In-Reply-To: <4B1E80DA.3080200@gnu.org> X-detected-operating-system: by monty-python.gnu.org: GNU/Linux 2.6 (newer, 2) Resent-Date: Tue, 08 Dec 2009 13:07:33 -0500 X-BeenThere: bug-gnu-emacs@gnu.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: "Bug reports for GNU Emacs, the Swiss army knife of text editors" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Original-Sender: bug-gnu-emacs-bounces+geb-bug-gnu-emacs=m.gmane.org@gnu.org Errors-To: bug-gnu-emacs-bounces+geb-bug-gnu-emacs=m.gmane.org@gnu.org Xref: news.gmane.org gmane.emacs.bugs:33415 Archived-At: Hello there, First, I'm an emacs user since a week now. So I'm still learning the internals. However, I've just created a patch for ghdl and fixes some compilation warnings. http://chitlesh.fedorapeople.org/emacs/emacs-vhdl-mode-ghdl.patch Which seems to work for me and it can generate a proper makefile. However I can't figure out how to tell it that if a testbench is provided, then add the following lines to the Makefile ghdl -m --workdir=3Dwork/ --ieee=3Dsynopsys -fexplicit $(TESTBENCH) ghdl -r $(PROJECT)_tb --vcd=3D$(PROJECT).vcd --stop-time=3D$(STOPTIME_US) Chitlesh On Tue, Dec 8, 2009 at 5:37 PM, Reto Zimmermann wrote: > Chitlesh GOORAH wrote: > >> Similarly, for vhdl-mode (included within emacs sources), weirdly does >> not support ghdl or freehdl out of the box, but only proprietary >> simulators. Can your vhdl-mode maintainer for emacs can fix it and put >> ghdl as default simulator please ? > > Please send me the required information and I will include them. > > =A0Compiler name =A0 =A0: name used in option `vhdl-compiler' to choose c= ompiler > =A0Compile command =A0: command used for source file compilation > =A0Compile options =A0: compile options (\"\\1\" inserts library name) > =A0Library command =A0: command to create library directory \(\"\\1\" ins= erts > =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0library directory, \"\\2\" inserts= library name) > =A0Library directory: directory of default library > Error message: > =A0Regexp =A0 =A0 =A0 =A0 =A0 : regular expression to match error message= s (*) > =A0File subexp index: index of subexpression that matches the file name > =A0Line subexp index: index of subexpression that matches the line number > =A0Column subexp idx: index of subexpression that matches the column numb= er > File message: > =A0Regexp =A0 =A0 =A0 =A0 =A0 : regular expression to match a file name m= essage > =A0File subexp index: index of subexpression that matches the file name > Unit-to-file name mapping: mapping of library unit names to names of file= s > =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0generated by the compiler (used fo= r Makefile generation) > =A0To string =A0 =A0 =A0 =A0: string a name is mapped to (\"\\1\" inserts= the unit > name, > =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0\"\\2\" inserts the entity name fo= r architectures) > =A0Case adjustment =A0: adjust case of inserted unit names > > Reto > >